Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

This is a centralized list of names and acronyms that you may not have heard of before, and are part of the C2S2 and chip design vernacular:

info
Message Box
iconnone
titleDisclaimer
typegeneric

(These are not meant to be formal/cited facts, just enough to give you an idea or of what we're/you're talking about (smile))

...

Acronym/JargonMeansDefinition
Abstraction
The process of purposefully neglecting finer details of a design (abstracting them away). This is a key concept for chip designers, as we are often working with very large designs. Trying to consider every little detail of a design would quickly become impossible due to the complexity (ex. trying to consider the exact implementation of an OR gate in a large processor). Instead, we often abstract away certain details, depending on where we're working. For example, if I'm working with an SRAM, I might only consider the interface (which address I'm reading from, and the read/write data) as a functional-level model, instead of trying to simulate every SRAM block. This can help reduce the complexity, making it much easier for us to work with designs, as well as for our tools to simulate/manage them.
Abutment
A quality of electrical connections on IC's. Specifically, two nets/pieces of metal are connected "by abutment" if there their connection occurs simply from touching each other when being placed on the cell, and require no further routing. Think of your computer charger; there's no routing that goes into it, but it's connected to your computer simply by being pressed up against it.
Accelerator

A piece of hardware meant to accelerate a specific task. This is useful when you know that you are likely to be performing a specific task over and over again, and wish to have dedicated hardware to perform it. For example, if you are designing a chip to go in a car, you might have an A* algorithm accelerator to speed up the computation of calculating the shortest route to a destination.

Many designs also have accelerators coupled with general-purpose hardware. This allows for general computations, but adding the option to use the accelerator when beneficial.

ADCAnalog-Digital Converter

A piece of hardware that converts an analog signal (with a continuous spectrum of values) to a digital signal (with discrete values). It is one of the projects for the Analog subteam '23-'24

Antenna Violation
An antenna violation is when you have a large trace of metal on your chip (which we call the antenna). During fabrication (specifically etching), this can result in a large buildup of charge on the wire, leading to damage to any gates they're connected to (see Section 3.6.1 of CMOS VLSI Design). This is usually solved with jumper or diode insertion.
Architecture
The overall high-level design of a system, indicating how it will complete its tasks. For example, most processors are examples of von Neumann architecture
ARMAdvanced RISC MachinesA family of RISC ISAs (or the company that licenses them, originally known as Acorn Computers, with their first major success being their revolutionary BBC Micro as a personal computer). It is the most widely used family of ISAs at time of writing, with use being dominant in mobile devices, as well as in Apple Silicon machines.
ASICApplication-Specific Integrated CircuitA custom IC targeted towards a specific purpose (different from a more general-purpose component). It will aim to perform a specific workload better than a general-purpose alternative, either in terms or area, energy, power, and/or cost.

...

Acronym/JargonMeansDefinition
DACDigital-Analog ConverterA piece of hardware that converts a digital signal (with discrete values) to an analog signal (with a continuous spectrum of values).
DDRDouble Data RateA type of memory technology that allows for data transfer on both the rising and falling edges of the clock, allowing for double the data rate.
DEFDesign Exchange FormatA file that includes the information about the physical layout of an IC. However, it does not include information about the connections to the cell; that is included in the LEF file, with both required for a complete view of a cell. They are denoted by the .def file suffix
Desoldering Pump
See Solder Sucker
DFTDesign For Test

A design principle that includes considerations of how the design might be tested, extending the design to make the testing process easier. This could include extra functionality and/or more exposure of the design (bringing more nets out to pins) to provide the tester with greater capability to test different parts of the chip.

(Note that this can also stand for Discrete Fourier Transform, which isn't as relevant to overall chip design)

DIBLDrain-Induced Barrier LoweringA phenomenon that occurs in MOSFET's with large VDS. This large voltage lowers the source diode barrier, causing a lower threshold voltage (Vth) and larger drain current (ID) with fewer charge carriers in the channel to overcome. Similar to CLM, this comes at the cost of smaller output resistance/small-signal gain, and with large leakage in cutoff.
Die
The patterned piece of silicon that composes our chip. Once a wafer is patterned, it is cut (diced) into individual dies, which are then packaged to form the entire IC. While silicon is typically used today due to its crystalline structure and abundance in nature, research has looked into other Group 4 elements, such as Germanium.
Diffusion
The portion of the MOSFET where charge carriers migrate during operation. This includes the source, drain, and channel. Often times, when two nets are connected through doped silicon, we say that they are connected through diffusion. 
Diode Insertion
The process of inserting diodes connected to long traces to resolve antenna violations. In normal operation, these diodes will be reverse-biased, acting as an open circuit and not affecting our trace. However, during manufacturing, the breakdown of these diodes will dissipate any buildup of charge that might harm our transistors. These have the upside that they don't affect any of our routing, but the downside that they take up space on our die, and must be thought of in floorplanning.
DIPDual-In-Line PackageA THT IC package where pins are arranged in two rows on either side of the chip. This allows for through-hole connections, as well as ease of connectivity on a breadboard (straddling the center divide). An example of this is the popular 74LS series of logic gates.
Domino (Logic)

A style of dynamic logic. Here, nodes are precharged high. Once indicated by a clock signal, the nodes are then evaluated; if required by the logic, they are pulled low. In doing so, we only need to implement the PDN, resulting in faster overall logic; domino logic is considered to be the fastest overall logic family, and is often used for high-speed arithmetic. However, it does come with some prerequisites:

  • The inputs must be monotonically increasing, or else the dynamic node might be intermediately discharged when we want it to remain high. However, the outputs of the domino stage are monotonically decreasing, making them difficult to chain together. This can usually be resolved with intermediate inverters between stages, or with p-logic stages between the n-logic stages (introducing no-race, or NoRa logic)
  • The inputs must be low before the evaluate stage, to avoid early discharging. If this cannot be guaranteed, a footer is used to prevent discharge in the precharge phase (which comes at the cost of higher capacitance that the clock must drive, as well as larger nMOS to maintain a balanced gate)
Doping

The process of introducing charge carriers into a silicon lattice. Silicon is a Group 4 element, and forms a nice lattice structure, with each Si forming 4 bonds with its neighbors. When we introduce Group 5 or 3 elements, these will abide by the lattice structure to produce 4 bounds, but will additionally contribute either an electron, or a lack of an electron (known as a hole), both of which can carry charge across the silicon. Because of this, doping comes in two types:

  • p-type doping: Introducing Group 3 elements to generate holes in the silicon (usually Boron or Gallium). This forms p-type silicon
  • n-type doping: Introducing Group 5 elements to generate electrons in the silicon (usually Arsenic or Phosphorus). This forms n-type silicon
DPLDetailed Placement

A step in the ASIC flow that determines the final placement of cells. This is after global placement has approximately placed all cells; with detailed placement, we're resolving any overlapping cells, as well as ensuring correct abutment contacts (such as for power and ground rails). This ability to limit our scope makes the final placement process easier.

DRAMDynamic RAM

A type of RAM that passively holds the state (dynamically). It is composed of an access transistor and a capacitor. When we want to access the cell (either to read or write), we set the access transistor to allow current flow, and either charge/discharge the capacitor (write) or read the stored value (read). Given that capacitors naturally discharge over time, DRAM cells must be periodically refreshed. However, capacitors can be made to have a small footprint (look up trench capacitors). Because of this, when compared to registers and SRAM, DRAM uses the least area for a given amount of memory (is the most dense). It additionally uses the least power, at the cost of being the slowest to access.

DRCDesign Rule Check

The process of checking whether our design is manufacturable, according to our PDK. This includes checking the dimensions of transistors, whether traces are too close or far, as well as if we have any antenna violations. This does not check whether our design functions as intended - that is done by LVS, leading to the two often being performed together. If a design has no DRC errors, it is said to be DRC clean.

If your design is not DRC clean, not only will it not be manufactured correctly, but it may cause damage to the fabrication machines. Because of this, a foundry will not manufacture any designs that are not DRC clean.

DRTDetailed Routing

A step in the ASIC flow that determines the final routing of cells. This comes after global routing, which routes all long global wires to their approximate destinations. With detailed routing, we take a local view of any remaining routing, and ensure that our traces connect to all of our cells. This ability to limit our scope makes the final routing process easier.

DUTDesign Under Test

A testing methodology that isolates a design as the "design under test". Much of the surrounding framework is abstracted to functional-level models, to isolate the exact component we're testing. Specifically, DUT frameworks often include:

  • Generator: Generates the inputs for the DUT
  • Driver: Delivers the generated inputs to the DUT
  • Monitor: Monitors the output ports (and occasionally input as well) to capture the results of the inputs
  • Scoreboard: Compares the outputs of the DUT with the expected outputs
  • Environment: Contains the Generator, Driver, Monitor, and Scoreboard, for later re-use (possibly across different modules)
  • Test: A given Environment with specific configurations. These configurations can later be tweaked for a different Environment configuration
Dynamic (Logic/Memory)

A style of logic or memory where information is stored dynamically; it is held only temporarily. An example is DRAM, where our memory is held by a large capacitor, but is only held temporarily (leading to the need for a periodic refresh of DRAM memory)

Dynamic (Power Consumption)

A type of power consumption that occurs from the switching of transistors and computation. Current is used to charge the gate of transistors, and is dumped to ground when they are discharged, leading to power consumption. This is the opposite of static power consumption.

...

Acronym/JargonMeansDefinition
HardenHardened/Hardening
The process of converting a design from RTL to a final GDS. This is where a design converts to represent the final layout of the chip. "Harden" indicates that this final layout is much more difficult to manipulate than our RTL, as well as that it is the final design.
HDLHardware Description LanguageA coding language that is meant to represent and model hardware, as opposed to representing a program or other software entity. The two most common are Verilog/SystemVerilog and VHDL, although others do exist, including Amaranth, Bluespec, Chisel, and PyMTL.
HLSHigh-Level SynthesisThe process of synthesizing a design from a high-level HDL. These languages generally sacrifice precise control over the exact hardware for productivity in representing the overall algorithm, allowing users to iterate across and explore the design space much more efficiently. HLS tools usually have their own flow for bringing their representation down to a lower-level representation, such as Verilog, VHDL, or a gate-level model.
Hierarchy
A design methodology that requires splitting large, complicated designs into modular components. These modular components can also be split into a lower level of components, and so on, until design complexity becomes manageable. This not only gives us the benefits of modularity, but enables us to have a manageable level of design complexity at each stage. These levels can also be referred to as hierarchy.
Hold Time

A timing constraint present in synchronous circuits. Specifically, hold time is the amount of time that an input signal (to a register) must remain constant after a clock's positive edge. If the clock edge causes this input to change within our hold margin (the amount of time after an edge with a duration of our hold time), the output and stored state may be unpredictable; in this case, we have violated hold time.

Compared to setup time violations, this is far worse issue; hold time violations are near impossible to fix after tapeout. There are some tricks that can be played (such as cooling the chip or running at a lower voltage to increase signal propagation time), but to a large extent, they are "chip killers", and should be treated with diligence.

HVLHardware Verification Language

A separate or embedded language (relative to our normal HDL) that is meant purely for verification, as opposed to the simulation or synthesis that our HDL is meant for. HVL's often include (non-synthesizable) higher-level constructs and functionality to assist the user in testing their design, such as stimuli generators and assertions, many of which are often wrapped in an object-oriented presentation. Examples include OpenVera, PSL, and the SystemVerilog Verification Subset.

(Note: On Project Teams specifically, HVL can also refer to the High Voltage Laboratory, a (now non-functional) off-campus power maintenance building that is used by some teams for storage. If you hear a random person in the ELL reference HVL, they're probably not verifying a hardware design(tongue))

...

Acronym/JargonMeansDefinition

Netlist


A machine-readable file that contains all of the connections in your design. While RTL is human-readable, it is very difficult for a simulator to directly interpret. Instead, we often synthesize our RTL into a gate-level netlist, which specifies all of the gates used in our design, as well as their connections, allowing a machine to understand and simulate the design. This is usually the first step in any ASIC flow.

Network Topology


The physical/logical arrangement of nodes and connections in a network. In hardware, this usually refers to the arrangements of blocks, transistors, etc in a chip connected by wires.

(Technology/Process) Node

A specific manufacturing process and its design constraints, also referred to as a given technology. It is a specific PDK that is used to manufacture designs.

Nodes are often associated with a given length (or number, in units of nm). For example, you might refer to the Skywater 130nm node. This number used to refer to the gate's half-pitch and it's length. However, more advanced nodes have strayed away from this meaning, somewhat due to marketing purposes (as well as differences in scaling between the length and the pitch), such that the associated length has lost much/all of its meaning.

...

Acronym/JargonMeansDefinition
Schematic
A symbolic diagram representing a hardware design. It is meant to convey what components are involved and how they are connected at a high-level.
Self-Aligned (Gate)

The gate of a MOSFET that is "self-aligned" by the MOS capacitor.

Previously, as a chip was built from the ground-up, the source and drain regions of a MOSFET were doped before the gate was placed on top. The gate must therefore be carefully aligned between the doped regions; if the mask was slightly off, the gate would be shifted and cause alignment problems, as it wouldn't cover the whole channel.

With modern processes, gates are "self-aligned"; the MOS capacitor over the gate is formed before the doping of the source and drain regions. In this way, the MOS capacitor can act as a mask for the gate region, such that we can dope all around the MOS capacitor, and have the channel region protected by the MOS capacitor, ensuring that our source and drain doped regions go right up to the channel. In this way, the gate aligns itself, hence "self-aligned"

Sequential (Logic)
Logic that retains state; the outputs are a function of the inputs, as well as previous inputs.
Setup Time

A timing constraint present in synchronous circuits. Specifically, setup time is the amount of time that an input signal (to a register) must remain constant before a clock's positive edge. If the clock edge causes this input to change within our hold margin (the amount of time before an edge with a duration of our setup time), the output and stored state may be unpredictable; in this case, we have violated setup time.

Compared to hold time violations, this is an easier issue to fix; in the worst case, we just slow down our clock speed, so that while our hardware may run slower, all signals would have time to propagate as far as they need to.

Short
An unintended connection between two electrical nets; if present, those nets are considered "shorted". If two metal wires are too close together on the chip, they may accidentally be shorted during manufacturing; because of this, our DRC rules specify how far away from each other adjacent traces must be.
Silicon
The primary element with which chips are made. It was chosen due to its crystalline structure, ability to have a large flat area with low global defects and minimal distortion, and feasable additive/subtractive processes (such as doping), as well as its plentiful availability in nature (sand!). That being said, ongoing research is exploring other options for semiconductor manufacturing, including GaN and GaAs.
Simulation

A representation of how something would behave; in our case, how computer hardware would proceed. Simulations can come in a variety of flavors (2-State RTL, 4-State RTL, Gate-Level, Back-Annotated GL), depending on how thorough you wish to be; the list above increases in precision, but also simulation time.

If a simulation takes too long, an FPGA can often be used to emulate the desired hardware, allowing for faster "simulation" times.

Skew

A difference in timing between when clock signals arrive at different points of the design. This is specifically relevant between two registers where the data transmitted by one is received by the other. The type of skew indicates how much time later the receiving register received the clock signal compared to the transmitting register; positive skew indicates time was added to the receiving register's signal, and it arrives later, whereas negative skew indicates time was taken away, and the receiving register gets the clock earlier.

Clock skew can affect our setup and hold time constraints. Our clock tree aims to minimize skew between closely-connected parts of a design.

Slack
The difference between the time a signal is required to reach a sequential circuit by (based on our clock speed), and the time it actually arrives in simulation. Positive slack indicates that our signal arrives before it needed to, and meets timing requirements. Negative slack indicates that the signal arrives after it is required to, causing a setup time violation. In order to function properly, all paths must have positive slack.
SMDSurface-Mount DeviceA style of package where components sit directly on top of the PCB, with the contacts resting directly on top of their intended connections. While these components aren't as easy to solder as THT components, they can be made with smaller/denser connections, and avoid disturbing any routing intended for other layers. Commercial/industry boards use primarily SMD components for this reason.
SMTSurface-Mount TechnologySee SMD
SOISilicon-On-InsulatorA process where transistors are fabricated on an insulator, instead of conventionally on a conductive substrate. This aids in reducing the parasitic capacitance between the source/drain and body of the transistor, improving the switching speed. It additionally results in lower subthreshold leakage and a higher subthreshold slope, with the drawback being time-dependent threshold variations, caused by the floating body in conjunction with the body bias effect.
SOICSmall-Outline ICA SMD IC package where pins are arranged in two rows on either side of the chip. It is similar to DIP, except SMD instead of THT, as well as a considerable amount smaller (shorter and narrower, occupying 30-50% less area, as well as 70% less thick). Note that there are different standards for SOIC, and they are not interchangeable (see SOP)
SoCSystem-On-Chip

A concept where all of the different computing modules (such as a CPU, memory, I/O ports, graphics units, etc.) are all integrated onto a single chip. This contrasts with a motherboard approach, where the different units are separate chips connected on the PCB. For the same functionality, SoC's have higher performance and lower power consumption (as they don't need to drive signals across the PCB), as well as less overall die area. However, this comes at the cost of reduced replaceability; if one module isn't working, the whole chip doesn't work, as you can't replace just the one module. 

This becomes apparent when using external IP. Many companies (such as ARM) sell "IP Blocks"; these are modules that can be integrated into your SoC, such as cores, controllers, memory blocks, etc. However, the vendor may need to take extra care to verify them; since they will be integrated on the same die, if the IP turns out to be non-functional, it cannot be easily replaced, and could affect the rest of the chip.

Solder
  • (noun): A metallic composition, designed to melt under relatively low temperatures (180-190°C, or 360-370°F) and provide electrical and physical connections to components. Solder comes in a spool, and is easily malleable to direct where you want it to go. Historically, it has been made out of tin and lead (you may see "70:30" or "60:40" solder, referring to the fractions of tin and lead, respectively), although lead-free solders have recently been introduced, which require slightly higher temperatures. They may also include a rosin core, to improve adhesion to metal contacts (see Flux)
  • (verb): The act of applying solder to establish an electrical and physical connection between contacts.
Solder Braid
A braid of finely-woven copper, coated in rosin flux (usually stored on a roll). Due to the high surface-area, flux coating, and use of copper, solder prefers to stick to the braid than any other connection. It is used accordingly to desolder components; an engineer would put the braid between the solder and the soldering iron, such that when the solder is heated by the iron, it will jump over to adhere to the braid, removing the connection. In this manner, the braid can be used up; once it is saturated with solder, it can't be used again.
Solder Bridge
An (often unintended) solder connection between neighboring contacts. Since the contacts aren't directly pressed together, solder bridges usually require a fair amount of solder to occur. While we aim to avoid them on PCB's (with the solder mask helping us to do so), they can also be intentional, such as in the case of perfboards.
Soldering Iron
A metal stick that, under operation, gets extremely hot (usually via inductive heating) in order to facilitate the melting and application of solder. Many come with an adjustable temperature dial; a good starting place is 600°- 650°F (316°- 343°C), or slightly higher for lead-free solder. One other good practice is tinning a soldering iron - applying a small layer of solder to the tip. This not only helps to prevent oxidation of the tip, but allows the soldering iron to connect to and transfer heat to solder more efficiently and without the solder balling up.
Solder Paste

A mixture of powdered solder, suspended in flux. It can be used similarly to solder for SMD components, but melts easier. To apply it, one usually places solder paste on the pads, puts the component on top, and then directs a heat gun towards the solder paste to reflow it, where the flux melts and causes the solder to adhere to the connections, forming a physical and electrical connection. Solder paste is typically used for smaller connections, as the large amount of flux allows it to "automatically" adhere to the correct locations, assuming the desired contacts are close enough.

Solder paste must be refrigerated when stored in an airtight container, and warmed up to use. It is typically stored in a syringe for manual use. However, for pick-and-place machines, it is stored in a printing mechanism; it is placed where needed, components are placed on top, and then the entire board is heated to reflow all of the solder paste.

Solder Sucker
A spring-loaded mechanism designed to suck solder off of a connection. The spring is pre-loaded, and released by a button to suck whatever is at the tip of the sucker. To use, an engineer would have a soldering iron in one hand, and a pre-loaded sucker in the other. The iron is used to heat the solder on the connection, and the sucker is then used to suck away the solder while it is still liquid.
Solder Wick
See Solder Braid
SOPSmall-Outline Package

A specific type of SOIC package. Specifically, there are three main standards:

  • JEDEC MS-012 (3.9mm body width)
  • JEDEC MS-013 (37.5mm body width)
  • JEITA (formerly EIAJ) Type II (5.3mm body width)

The first two are typically called "SOIC", whereas the third is referred to as "SOP", or even "wide SOIC" (although it is not as wide as the JEDEC MS-013, which may also be referred to as a "wide SOIC")

SPEFStandard Parasitic Exchange FormatA file that contains information about the parasitics of interconnects in a design, denoted by the .spef file suffix
SPISerial Peripheral InterfaceA hardware communication protocol intended for small distance communication, such as between chips or modules, designed by Motorola in 1979. It is a master-slave communication protocol, and involves 4 signals; CS (chip-select, to select which chip we're using, also seen as SS), SCLK (the edges of which determine when data transfer happens), MOSI (Master Out, Slave In; Master→Slave Communication), and MISO (Master In, Slave Out; Slave→Master Communication). The MISO line can be shared by many slaves, with the CS line determining which one talks to the master. While it is not a bus protocol like IC, and requires more data lines, it can also communicate much faster (up to 50Mbps). It is also full duplex, meaning that data can be transmitted and received simultaneously.
SPICESimulation Program with Integrated Circuit EmphasisAn open-source analog circuit simulator. It is the industry standard way to simulate a circuit at the transistor level, allowing the designers to have high confidence when taping out the chip. SPICE takes a text netlist describing the circuit elements, and translates them into nonlinear differential equations that describe the circuit, which are solved during Monte Carlo simulations with various methods (such as implicit integration, Newton's method, and sparse matrix techniques). While SPICE can take a while for larger circuits, it can accurately capture different component variations and operating conditions, as well as extracted parasitics.
SRAMStatic RAMA type of RAM that actively holds the state (statically), through the use of cross-coupled inverters. The most common variety is 6T SRAM, which uses 6 transistors (as the name suggests) to hold 1 bit of state. A lot of work and research has been done to optimize the layout of 6T SRAM. Compared to DRAM, SRAM is faster but uses more power and area. Compared to registers, SRAM is slower but uses less power and area.
SSHSecure Shell

A network protocol that allows users to remotely access other computers over an unsecured network. Additionally, it may refer to the suite of utilities used to implement said protocol. It began in 1995 as SSH-1, which was later found to have flaws. The current version is SSH-2, which originally used a Diffie-Hellman Key Exchange to establish symmetric cryptography keys, although it also supports other algorithms, such as RSA and EdDSA.

SSH is the method with which we communicate with the C2S2 server. If you are using the keys generated by the setup script, they operate on the RSA algorithm (specifically using a 3072-bit key)

STAStatic Timing AnalysisThe process of checking timing constraints (setup and hold time) across a design. The process is "static", as it doesn't actually simulate signal flow; rather, STA analyzes the delay along all register-register paths, and check to see that they all satisfy setup and hold time. This is an important verification step to ensure that our chip will "meet timing". If there are hold time violations, buffers may be inserted to increase the shortest possible delay. If there are setup time violations, the tool may try to optimize the path (such as reducing interconnect length or changing sizing of gates), but may also fail if our target clock period is too aggressive for our design, in which case we would attempt to run the flow with a longer clock period (a.k.a. a slower clock)
Standard Cell

A group of transistors that provide a defined logic function. A standard cell library will include many of these cells (in various views, such as behavioral, physical, etc.) that the tool can use to synthesize the design.

These cells share many common physical characteristics, making them "standard". Included in these are height and power rail location, pitch of inputs/outputs, and transistor sizing (they are usually multiples of one another). This regularity helps the tools to place and route them in an organized, dense fashion.

Static (Power Consumption)
A type of power consumption that occurs just from the chip having power. With digital design, this is usually just the leakage of the transistors (see Leakage). In larger designs, this can get to be a lot of power even when not all of our design might be used at a time (think of how often you actually use your USB ports or speakers); in this case, we can implement various types of gating to save power (see Gating)
STIShallow Trench IsolationA process where oxide is used to isolate different doped wells in the substrate from unwanted capacitive coupling. While is was popular and cheap when introduced, it is now outdated, replaced by SOI technology
Stick Diagram
A symbolic design to represent a layout, anywhere from a gate up to an entire chip. Stick diagrams portray traces and doped regions as only lines, or "sticks". They are used to convey high-level topographical information, without exact sizes or dimensions.
String
A sequence of characters; a term for text in computer science.
Switch
A module/piece of hardware that has the ability to take packets from multiple inputs, and arbitrates between them to send them to a single output. Often viewed as the complement of a router.
Synthesis
The process of turning our RTL design into a gate-level netlist, so that a machine can deal with it in terms of only cell instantiations and connections.

...