This is a centralized list of names and acronyms that you may not have heard of before, and are part of the C2S2 and chip design vernacular:

Disclaimer

(These are not meant to be formal/cited facts, just enough to give you an idea of what we're/you're talking about (smile))


#:

Acronym/JargonMeansDefinition
2-State (Simulation)

The process of simulating an RTL design with each net capable of being in 2 possible states:

  • 0: Driven to the low voltage of the design (a digital "0")
  • 1: Driven to the high voltage of the design (a digital "1")

Because of this, the representation of the design is very limited, and 2-State simulators often make some assumptions (such as uninitialized nets being a logical 0). This means that simulating a design using a 2-State RTL simulator, while fast and useful for early debugging, isn't sufficient; we should aim to verify our designs additionally under 4-State and GL simulations.

4-State (Simulation)

The process of simulating an RTL design with each net capable of being in 4 possible states:

  • 0: Driven to the low voltage of the design (a digital "0")
  • 1: Driven to the high voltage of the design (a digital "1")
  • X: Ambiguous (uncertain as to which state it is driven to). This occurs on start-up before reset, as well as if registers capturing inputs are undefined
  • Z: (High impedance) Not driven

This is an improvement over 2-state simulation, which only accounts for 1's and 0's. Many 2-state simulators will implicitly assume that nets initially begin at 0, and that non-driven nets are 0 as well. By using 4-state simulation, we can aim to catch some of these errors. It is not as encompassing as GL simulations, but is significantly faster.

A:

Acronym/JargonMeansDefinition
Abstraction
The process of purposefully neglecting finer details of a design (abstracting them away). This is a key concept for chip designers, as we are often working with very large designs. Trying to consider every little detail of a design would quickly become impossible due to the complexity (ex. trying to consider the exact implementation of an OR gate in a large processor). Instead, we often abstract away certain details, depending on where we're working. For example, if I'm working with an SRAM, I might only consider the interface (which address I'm reading from, and the read/write data) as a functional-level model, instead of trying to simulate every SRAM block. This can help reduce the complexity, making it much easier for us to work with designs, as well as for our tools to simulate/manage them.
Abutment
A quality of electrical connections on IC's. Specifically, two nets/pieces of metal are connected "by abutment" if their connection occurs simply from touching each other when being placed on the cell, and require no further routing. Think of your computer charger; there's no routing that goes into it, but it's connected to your computer simply by being pressed up against it.
Accelerator

A piece of hardware meant to accelerate a specific task. This is useful when you know that you are likely to be performing a specific task over and over again, and wish to have dedicated hardware to perform it. For example, if you are designing a chip to go in a car, you might have an A* algorithm accelerator to speed up the computation of calculating the shortest route to a destination.

Many designs also have accelerators coupled with general-purpose hardware. This allows for general computations, but adding the option to use the accelerator when beneficial.

ADCAnalog-Digital Converter

A piece of hardware that converts an analog signal (with a continuous spectrum of values) to a digital signal (with discrete values). It is one of the projects for the Analog subteam '23-'24

Antenna Violation
An antenna violation is when you have a large trace of metal on your chip (which we call the antenna). During fabrication (specifically etching), this can result in a large buildup of charge on the wire, leading to damage to any gates they're connected to (see Section 3.6.1 of CMOS VLSI Design). This is usually solved with jumper or diode insertion.
Architecture
The overall high-level design of a system, indicating how it will complete its tasks. For example, most processors are examples of von Neumann architecture
ARMAdvanced RISC MachinesA family of RISC ISAs (or the company that licenses them, originally known as Acorn Computers, with their first major success being their revolutionary BBC Micro as a personal computer). It is the most widely used family of ISAs at time of writing, with use being dominant in mobile devices, as well as in Apple Silicon machines.
ASICApplication-Specific Integrated CircuitA custom IC targeted towards a specific purpose (different from a more general-purpose component). It will aim to perform a specific workload better than a general-purpose alternative, either in terms or area, energy, power, and/or cost.

B:

Acronym/JargonMeansDefinition
Back-Annotated (GL Simulation)
A gate-level (GL) simulation using extracted parasitics (thus, our netlist is "back-annotated" with the parasitics). This allows our simulation to capture the parasitics present in our final design, and give us confidence that our hardened design will still function as intended.
BGABall-Grid ArrayAn PGA IC packaging style where the leads are in the form of many balls on the underside of the chip. While they are much more difficult to solder than other packages (usually requiring specialized machines), they allow for more connections as a PGA style, and have on average shorted/wider connections to the die, allowing for better high-speed performance.
BJTBipolar Junction TransistorA type of transistor with either PNP or NPN layers of silicon, connecting the collector, emitter, and base. The base's purpose is to appropriately bias the middle junction such that a large number of charge carriers can flow from the emitter to the collector when turned on (electrons for NPN, holes for PNP). When compared to a MOSFET, while the base does use some current (as opposed to no current required for the gate of a MOSFET), a BJT is generally able to source more current than a MOSFET, with the current exponentially to the base voltage (as opposed to the quadratic relationship between gate voltage and MOSFET current).
Body Bias/Effect

An effect where a voltage difference on the body of a transistor (relative to the source) can change the threshold voltage of the transistor. 

  • If an nMOS body is connected to a lower voltage than the source, the body will push electrons away, meaning that the gate needs to do more work to bring them back and invert the channel, resulting in a higher threshold voltage (Vth)
  • If an pMOS body is connected to a higher voltage than the source, the body will attract, meaning that the gate needs to do more work to push them away to create holes and invert the channel, resulting in a higher threshold voltage (Vth)

The reverse is also true (a higher voltage nMOS body/lower voltage pMOS body will lower the threshold voltage)

Breadboard
Also known as "solderless breadboard", it is a white board with many holes where a user can place THT components. Knowing that the board connects the holes (power strips are connected bertically, other holes are connected horizontally, with the exception of across the main divide), one can use a breadboard to prototype a design. Breadboards rely entirely on friction for contact, allowing them to be reusable across designs.
Buffer
A CMOS gate that passes the input value to the output. However, because CMOS gates actively drive the output, buffers are commonly used to restore signal strength, as well as to divide long interconnects to help improve transfer speed. They are used particularly in clock-tree synthesis (see CTS).

C:

Acronym/JargonMeansDefinition
CADComputer-Assisted DesignA computer program that assists you in design. While many think of CAD as mechanical, we still refer to our development tools as CAD tools (although another name given is ECAD (Electronic CAD), which usually refers to tools involved in PCB design)
Chiplet
A small IC with well-defined functionality, meant to be combined with other chiplets on an interposer (substrate for connecting them). This structure allows for chiplet re-use across systems without needing design files (protecting IP), as well as the ability to test chiplets individually and only use the functional ones, rather than relying on an entire IC combining the functionality being defect-free, improving yield.
CIContinuous IntegrationA workflow that checks whether a design is valid. The idea is that this is done automatically (through some mechanism like GitHub Actions or Jenkins) such that design verification can be improved. This is often also combined with Continuous Deployment (CD), referred to as CI/CD, so that once a design is automatically verified, it can also automatically be build and deployed for use.
CISCComplex Instruction Set ComputerA family of ISAs pioneered by Intel in the late 1970's with x86. Specifically, CISC ISA's define complex instructions that often perform multiple tasks. This leads to easier software development with fewer instructions required. However, it can also lead to more complicated hardware, as decoding and pipelining CISC instructions can be difficult. Because of this, CISC processors often operate at slower clock frequencies than RISC processors. 
CLMChannel Length ModulationA phenomenon that occurs in MOSFET's with large VDS. This large voltage expands the drain's depletion region, shortening the effective length (Leff) of the channel. This improves the ON current of the transistor, but decreases the output resistance/small-signal gain, as well as creating a large amount of leakage when Leff → 0
Clock
An important signal in sequential designs that controls when data transfer occurs. The most common use of clocks is in registers, which store value to their internal state on the rising edge of the clock (when it transitions from 0 to 1)
CMOSComplementary MOSA logic style that implements a pull-up network (see PUN) and a pull-down network (see PDN) for digital logic gates. This leads to a very robust logic design (not susceptible to noise, signal is at full voltage level) at the cost of implementing the logic twice in the PUN and PDN, with a slower speed as well
CMPChemical Mechanical Planarization (or Polishing)A process to planarize the surface of a die (make it really flat/smooth) during fabrication. A nanocrystal slurry and etchant work together to remove any large structures on the top surface. This is useful because it provides a guaranteed flat surface to build further layers on top of. However, CMP can also cause dishing and erosion when there are large areas of a constant material. To avoid this, tools often insert extra structures not for any electrically functional reason, but to provide a good CMP finish (see Fill) (although sometimes, decoupling capacitors are used to additionally smooth any voltage supply lines).
Combinational (Logic)

Logic that doesn't retain any state; the outputs are purely a function of the inputs.

Corner

(Also known as a process corner) A representation of variations in our design/s performance environment that may arise (variations across PVT). It is important to test designs across corners to ensure that it will function correctly under our tolerances for manufacturing - we make the assumption that if our design functions at the extremes, it will function in between.

Critical Path

The slowest combinational path between sequential elements in a design (the one with the most negative slack). This is the longest path that signals have to take in a clock cycle, and is therefore the path that limits how fast our clock can run. If we want to to use a faster clock, we must optimize/shorten the critical path.

Cross-Talk

A phenomenon that occurs between two large traces that run close to each other. There will be parasitic capacitive coupling between them, meaning that changes in voltage on one trace (known as the aggressor) can cause changes in voltage on the other (known as the victim). Some ways to reduce this are:

  • Increase the line spacing
  • Shield the traces by inserting Vdd/GND traces in between
  • Having the traces switch at different times
  • Cancel the cross-talk through staggered inverters and trace interleaving schemes

Finally, since the parasitic capacitance comes from the overlapping trace area, a good practice is to have neighboring metal layers have perpendicular traces. For example, if the traces on Metal5 run vertically, we'd have the traces on Metal6 run horizontally, to avoid large areas of trace overlap.

CTSClock Tree Synthesis

A specific step in our ASIC flow where we form the clock tree. Since our clock is such an important signal, as well as because it has a high fanout, a normal driver would result in a very slow and not-sharp signal. Instead, we form a tree of buffers for our clock signal, such that each buffer drives multiple buffers. This tree allows us to propagate our clock with sufficient drive strength and speed to all the sequential circuits where it's needed.

Cycle

A complete repetition of a signal; in digital design, this almost always refers to our clock. A cycle can be measured as the time from one rising edge to the next. Performance of digital circuits is often measured in the number of cycles it takes to complete, indicating how many instances of data transfer need to occur in our sequential circuits to achieve the desired operation.

Cycle Time

The time (usually in nanoseconds) of one cycle of our clock. Shorter cycle time means a faster design. Our cycle time is constrained by our setup time.

D:

Acronym/JargonMeansDefinition
DACDigital-Analog ConverterA piece of hardware that converts a digital signal (with discrete values) to an analog signal (with a continuous spectrum of values).
DDRDouble Data RateA type of memory technology that allows for data transfer on both the rising and falling edges of the clock, allowing for double the data rate.
DEFDesign Exchange FormatA file that includes the information about the physical layout of an IC. However, it does not include information about the connections to the cell; that is included in the LEF file, with both required for a complete view of a cell. They are denoted by the .def file suffix
Desoldering Pump
See Solder Sucker
DFTDesign For Test

A design principle that includes considerations of how the design might be tested, extending the design to make the testing process easier. This could include extra functionality and/or more exposure of the design (bringing more nets out to pins) to provide the tester with greater capability to test different parts of the chip.

(Note that this can also stand for Discrete Fourier Transform, which isn't as relevant to overall chip design)

DIBLDrain-Induced Barrier LoweringA phenomenon that occurs in MOSFET's with large VDS. This large voltage lowers the source diode barrier, causing a lower threshold voltage (Vth) and larger drain current (ID) with fewer charge carriers in the channel to overcome. Similar to CLM, this comes at the cost of smaller output resistance/small-signal gain, and with large leakage in cutoff.
Die
The patterned piece of silicon that composes our chip. Once a wafer is patterned, it is cut (diced) into individual dies, which are then packaged to form the entire IC. While silicon is typically used today due to its crystalline structure and abundance in nature, research has looked into other Group 4 elements, such as Germanium.
Diffusion
The portion of the MOSFET where charge carriers migrate during operation. This includes the source, drain, and channel. Often times, when two nets are connected through doped silicon, we say that they are connected through diffusion. 
Diode Insertion
The process of inserting diodes connected to long traces to resolve antenna violations. In normal operation, these diodes will be reverse-biased, acting as an open circuit and not affecting our trace. However, during manufacturing, the breakdown of these diodes will dissipate any buildup of charge that might harm our transistors. These have the upside that they don't affect any of our routing, but the downside that they take up space on our die, and must be thought of in floorplanning.
DIPDual-In-Line PackageA THT IC package where pins are arranged in two rows on either side of the chip. This allows for through-hole connections, as well as ease of connectivity on a breadboard (straddling the center divide). An example of this is the popular 74LS series of logic gates.
Domino (Logic)

A style of dynamic logic. Here, nodes are precharged high. Once indicated by a clock signal, the nodes are then evaluated; if required by the logic, they are pulled low. In doing so, we only need to implement the PDN, resulting in faster overall logic; domino logic is considered to be the fastest overall logic family, and is often used for high-speed arithmetic. However, it does come with some prerequisites:

  • The inputs must be monotonically increasing, or else the dynamic node might be intermediately discharged when we want it to remain high. However, the outputs of the domino stage are monotonically decreasing, making them difficult to chain together. This can usually be resolved with intermediate inverters between stages, or with p-logic stages between the n-logic stages (introducing no-race, or NoRa logic)
  • The inputs must be low before the evaluate stage, to avoid early discharging. If this cannot be guaranteed, a footer is used to prevent discharge in the precharge phase (which comes at the cost of higher capacitance that the clock must drive, as well as larger nMOS to maintain a balanced gate)
Doping

The process of introducing charge carriers into a silicon lattice. Silicon is a Group 4 element, and forms a nice lattice structure, with each Si forming 4 bonds with its neighbors. When we introduce Group 5 or 3 elements, these will abide by the lattice structure to produce 4 bounds, but will additionally contribute either an electron, or a lack of an electron (known as a hole), both of which can carry charge across the silicon. Because of this, doping comes in two types:

  • p-type doping: Introducing Group 3 elements to generate holes in the silicon (usually Boron or Gallium). This forms p-type silicon
  • n-type doping: Introducing Group 5 elements to generate electrons in the silicon (usually Arsenic or Phosphorus). This forms n-type silicon
DPLDetailed Placement

A step in the ASIC flow that determines the final placement of cells. This is after global placement has approximately placed all cells; with detailed placement, we're resolving any overlapping cells, as well as ensuring correct abutment contacts (such as for power and ground rails). This ability to limit our scope makes the final placement process easier.

DRAMDynamic RAM

A type of RAM that passively holds the state (dynamically). It is composed of an access transistor and a capacitor. When we want to access the cell (either to read or write), we set the access transistor to allow current flow, and either charge/discharge the capacitor (write) or read the stored value (read). Given that capacitors naturally discharge over time, DRAM cells must be periodically refreshed. However, capacitors can be made to have a small footprint (look up trench capacitors). Because of this, when compared to registers and SRAM, DRAM uses the least area for a given amount of memory (is the most dense). It additionally uses the least power, at the cost of being the slowest to access.

DRCDesign Rule Check

The process of checking whether our design is manufacturable, according to our PDK. This includes checking the dimensions of transistors, whether traces are too close or far, as well as if we have any antenna violations. This does not check whether our design functions as intended - that is done by LVS, leading to the two often being performed together. If a design has no DRC errors, it is said to be DRC clean.

If your design is not DRC clean, not only will it not be manufactured correctly, but it may cause damage to the fabrication machines. Because of this, a foundry will not manufacture any designs that are not DRC clean.

DRTDetailed Routing

A step in the ASIC flow that determines the final routing of cells. This comes after global routing, which routes all long global wires to their approximate destinations. With detailed routing, we take a local view of any remaining routing, and ensure that our traces connect to all of our cells. This ability to limit our scope makes the final routing process easier.

DUTDesign Under Test

A testing methodology that isolates a design as the "design under test". Much of the surrounding framework is abstracted to functional-level models, to isolate the exact component we're testing. Specifically, DUT frameworks often include:

  • Generator: Generates the inputs for the DUT
  • Driver: Delivers the generated inputs to the DUT
  • Monitor: Monitors the output ports (and occasionally input as well) to capture the results of the inputs
  • Scoreboard: Compares the outputs of the DUT with the expected outputs
  • Environment: Contains the Generator, Driver, Monitor, and Scoreboard, for later re-use (possibly across different modules)
  • Test: A given Environment with specific configurations. These configurations can later be tweaked for a different Environment configuration
Dynamic (Logic/Memory)

A style of logic or memory where information is stored dynamically; it is held only temporarily. An example is DRAM, where our memory is held by a large capacitor, but is only held temporarily (leading to the need for a periodic refresh of DRAM memory)

Dynamic (Power Consumption)

A type of power consumption that occurs from the switching of transistors and computation. Current is used to charge the gate of transistors, and is dumped to ground when they are discharged, leading to power consumption. This is the opposite of static power consumption.

E:

Acronym/JargonMeansDefinition
ELLExperiential Learning LabThe workspace in the basement of Upson Hall used by Project Teams. There are several members of staff responsible for running it (see Important Contacts), as well as usually one ELL Assistant on shift (a student, usually also a member of a Project Team, who is knowledgeable about the space and can help you with any issues)
Encapsulation
A design principle that promotes intentionally hiding implementation details from an external user whenever possible. This not only allows for clean, concise interfaces (allowing for ease of use), but can help promote modularity across a wide array of designs. 
ESDElectrostatic DischargeDischarge that occurs from static buildup (think rubbing your hands on a sweater, then zapping your friend by touching them). ESD can be extremely harmful to our chips, as the high voltage/current buildup can fry our chips. While many chips have some level of ESD protection build into the pads, it is still good practice to handle IC's with care, including storage in an ESD-safe bag, and handling them on grounded ESD mats.
Etching
A step in photolithography where the portion of the wafer not covered by patterned resist is removed ("etched" away). While there have been a variety of etching techniques over the years, including "wet etching" using chemical processes, modern processes use plasma etching. This involves using a plasma to generate many ions - these ions are accelerated by an electric field towards the substrate, the impact of which on the surface (as well as some reactions) etches away the surface. This etch is largely anisotropic - only occurring in one direction, largely avoiding the undercut of the resist that wet etching suffered (although some isotropic etching occurs from the neutrals present from the plasma). Because of this, plasma etching allows for very small and dense features. However, the ions can additionally cause a buildup of charge on antennas, causing issues (see Antenna Violations).
Extensibility
A design principle that promotes including the ability for further modifications/changes, or designing to make these further changes be simple/require little effort. When constructing our design, we may choose certain implementations/ways to write our code that allows a future user to modify our initial design to suit their needs. This helps to promote not only code reusability, but higher levels of collaboration across different users.
Extraction
The process of turning a layout of a design into a representative schematic (we extract the schematic from the layout). This is often done to capture any parasitic resistances/capacitances that are present in the layout, allowing us to run tests that will produce results more accurate as to how our final product would perform.
EUV (Lithography)Extreme Ultraviolet (Lithography)

A type of photolithography that uses ultraviolet light with a very small wavelength (13.5nm or lower) when exposing the resist. Since our feature size scales with our wavelength (as our feature size depends on the amount of diffraction, which depends on the wavelength), this process allows for very small features. Previous processes were able to achieve a similar feature size through tricks such as OPC, lenses, and different phases; since EUV largely cannot use these techniques (due to most materials absorbing EUV radiation), the jump in overall feature size was less than anticipated by the time it occurred.

At time of writing, the only manufacturer of EUV systems is ASML, targeting 5nm and 3nm nodes. Due to the high quality of the machine and the many high-quality suppliers ASML must source from, an EUV system will currently cost you around $200 million.

F:

Acronym/JargonMeansDefinition
Fab

See Foundry

Fanout

A given gate's fanout is the amount of output capacitance it is driving relative to its input capacitance. For example, if an AND gate's output was an input to three other AND gates, it would have a fanout of 3. If it were to instead drive 5 inverters, each of which had half the input capacitance of the AND, it would have a fanout of 2.5.

Falling Edge

The transition of a digital signal from a logical 1 to a logical 0. This transition of a clock signal is rarely used to transfer data compared to its rising edge (unless we're doing something silly like DDR - if you're curious, out of scope for here, but look it up (smile))

FFTFast Fourier Transform

A mathematical operation that takes a time domain signal and converts it into a frequency domain signal. Specifically, a Fast Fourier transform attempts to speed up the computation by eliminating many of the redundant operations originally present in the Fourier algorithm. This was the design present on C2S2's Digital Spring 2023 tapeout!

(Metal) Fill

Metal shapes inserted on our chip that serve no logical purpose. Instead, their role is more structural, serving to keep our metal density/distribution even across the layer to avoid variations in thickness after CMP.

Filler Cell

A standard cell that doesn't have a logical function, but is instead used to ensure power connections by abutment. Often times, our standard cells get their power and ground from their neighbors, relying on the fact that power and ground will be in the same location across all standard cells. During placement, we may find that our standard cells are spaced out, meaning that power and ground won't be connected. Our filler cells are just these connections, and are placed in the gaps to ensure that power and ground can go from one neighbor to another.

FinFET

A style of transistor occurring in small process nodes (nominally 22nm or below). They have the area of diffusion sticking up perpendicular to the substrate, instead of laying parallel on top (looking up a picture helps). This allows the gate of the transistor to wrap around this area and cover three sides, giving the gate much more control over the source-drain current (lowering the threshold voltage, saving power...all sorts of good stuff). Future development include "gate all-around"; which this is mostly theoretical at time of writing (Intel claims they'll be able to do it (smile)), it would have the gate wrap entirely around the diffusion area to allow for maximum control of the transistor current.

Flip Chip

A method of connecting the interconnects of a die to other dies and its packaging (specifically, the leadframe). Specifically, flip chip calls for turning the die upside-down, such that the top layer of metal (now on the bottom) can directly contact the packaging to connect. Compared to wire bonding, the primary advantage is the density of interconnects (proportional to area, not just the perimeter), as well as shorter interconnects (leading to faster speeds). However, this comes at the cost of more complicated, less flexible manufacturing, as well as the cost to manufacture.

Floorplan(ing)

The process of (or result of) determining where our design will be placed on our silicon die, and how much area it will take up. Often times, there is non-negligible overhead in routing our design; because of this, our floorplan might not be as compact as it could be. However, it's difficult to know this overhead exactly beforehand, as we have to know where our design is located before routing. Because of this, our floorplan tool will often target a specific density of our design; how close together all of the blocks and standard cells should be. 

After routing, there may be leftover space because of this. This is often filled with other devices to ensure a quality CMP process; these may be non-functional, but a common choice is decoupling capacitors to ensure a smooth and steady voltage supply.

(ASIC) Flow

An "automated" process to turn an RTL design into a final GDS file. "Automated" is in quotes, as the tools involved usually need a fair amount of help and guidance from the user to generate a good design. While all flows are different, the common steps (in rough order) are:

  1. Synthesis (and GL simulation)
  2. Floorplanning
  3. Placement
  4. CTS
  5. Diode Insertion (if applicable - see Antenna Violations)
  6. Routing
  7. DRC
  8. LVS
  9. Extraction (and Back-Annotated GL Simulation)
Footprint

A 2-dimensional diagram of the connections of a component to the PCB. To include a component in your PCB design, you need its footprint in order to know the location and size of each connection.

Foundry

The place/company/entity that fabricates the final design (the factory). Previously, this was often lumped in with the designers (leading to vertically integrated chip design). However, more modern trends have seen a separation of fabrication from design, and many design companies going fabless. This allows the designers and foundries to work with many more partners, but additionally poses challenges in maintaining the security of IP.

Some examples of foundries are TSMC, Skywater, and Global Foundries.

Some examples of fabless designers are Qualcomm, Broadcom, Nvidia, Xilinx, AMD, Marvell, and eFabless.

Some examples of integrated companies include Intel and Samsung.

FPGAField-Programmable Gate Array

A reconfigurable piece of hardware that can emulate hardware designs. It does this through many programmable lookup tables (LUTs) which are all interconnected, allowing the user to program the FPGA to emulate hardware by defining the output logic of the LUTs and how they are connected. Due to their programmability/flexibility, FPGA's aren't as efficient as ASIC designs. However, they are still much faster than simulations of hardware, with their flexibility causing many consumers to use them (ex. to train your neural network, instead of having to go through the tapeout process with a high startup cost to make an ASIC to do it, companies will rent you out time on their FPGA's, saving you money). In general, FPGA's are preferred for small quantities, whereas ASICs are preferred for large quantities (with the crossover point varying, but currently around 100,000)

FSMFinite State Machine

A design that includes an internal piece of "state" (of which there are predefined options) that represents the current status of the design. When designing an FPGA, we need to consider the three following items:

  1. States: What are the possible states for our machine? How will we represent the state?
  2. Transitions: Under what conditions will we transition states? If I'm in State X, what determines my next state?
  3. Outputs: Given our current state, what should our outputs be? In this manner, FSM's come in two flavors:
    • Moore Machine: The outputs only depend on our current state
    • Mealy Machine: The outputs depend both on our current state and our inputs

G:

Acronym/JargonMeansDefinition
(Data/Clock/Power) Gating

Gates included to intentionally restrict the flow of signals for power consideration. If we aren't using a portion of our design, we may gate signals to it in order to save power (as power spent on hardware doing nothing is wasted). This includes:

  • Data Gating: Intentionally preventing the flow of data. If the data isn't changing/switching, our nets aren't being charged/discharged, saving dynamic power.
  • Clock Gating: Intentionally preventing the propagation of a clock signal. This prevents our registers from taking new values, preventing the switching of nets and saving dynamic power
  • Power Gating: Intentionally preventing power from being supplied. Since there is no voltage at all, this eliminates both dynamic and static power consumption. However, implementing power gating is difficult, as we have to have a logic gate that is also capable of efficiently supplying power when we want it.
GDSGraphic Data SystemA file that stores the final hardened layout for an IC. As the name suggests, this is represented as (and can be thought of as) a picture of the different layers of the IC. This file is what's sent to the foundry for manufacturing.
GLGate-Level (Simulation)A simulation of how the gates in our design change. As opposed to RTL (which operates with only 0's and 1's), a gate-level simulation uses our synthesized netlist and simulates the voltages being applied to them, using their functional representations to determine how signals propagate and nets change. Because this is more complicated, GL simulation takes a lot more time than RTL simulation.
GPLGlobal PlacementA step in the ASIC flow that determines the rough placement of cells. It is an initial pass over the entire design to figure out roughly (in which ball park) where our cells should be located, in order to optimize our critical path, have shorter traces, and save power.
GRTGlobal RoutingA step in the ASIC flow that determines the rough routing of cells. It is an initial pass over the entire design to figure out roughly where traces should go, and to route traces that need to cover large, global areas of the design.
GUIGraphical User InterfaceA program that has a graphical element/display (as opposed to a text-based program). This can often help the user gain a more intuitive sense about the program/process/results.

H:

Acronym/JargonMeansDefinition
Hardened/Hardening
The process of converting a design from RTL to a final GDS. This is where a design converts to represent the final layout of the chip. "Harden" indicates that this final layout is much more difficult to manipulate than our RTL, as well as that it is the final design.
HDLHardware Description LanguageA coding language that is meant to represent and model hardware, as opposed to representing a program or other software entity. The two most common are Verilog/SystemVerilog and VHDL, although others do exist, including Amaranth, Bluespec, Chisel, and PyMTL.
HLSHigh-Level SynthesisThe process of synthesizing a design from a high-level HDL. These languages generally sacrifice precise control over the exact hardware for productivity in representing the overall algorithm, allowing users to iterate across and explore the design space much more efficiently. HLS tools usually have their own flow for bringing their representation down to a lower-level representation, such as Verilog, VHDL, or a gate-level model.
Hierarchy
A design methodology that requires splitting large, complicated designs into modular components. These modular components can also be split into a lower level of components, and so on, until design complexity becomes manageable. This not only gives us the benefits of modularity, but enables us to have a manageable level of design complexity at each stage. These levels can also be referred to as hierarchy.
Hold Time

A timing constraint present in synchronous circuits. Specifically, hold time is the amount of time that an input signal (to a register) must remain constant after a clock's positive edge. If the clock edge causes this input to change within our hold margin (the amount of time after an edge with a duration of our hold time), the output and stored state may be unpredictable; in this case, we have violated hold time.

Compared to setup time violations, this is far worse issue; hold time violations are near impossible to fix after tapeout. There are some tricks that can be played (such as cooling the chip or running at a lower voltage to increase signal propagation time), but to a large extent, they are "chip killers", and should be treated with diligence.

HVLHardware Verification Language

A separate or embedded language (relative to our normal HDL) that is meant purely for verification, as opposed to the simulation or synthesis that our HDL is meant for. HVL's often include (non-synthesizable) higher-level constructs and functionality to assist the user in testing their design, such as stimuli generators and assertions, many of which are often wrapped in an object-oriented presentation. Examples include OpenVera, PSL, and the SystemVerilog Verification Subset.

(Note: On Project Teams specifically, HVL can also refer to the High Voltage Laboratory, a (now non-functional) off-campus power maintenance building that is used by some teams for storage. If you hear a random person in the ELL reference HVL, they're probably not verifying a hardware design(tongue))

I:

Acronym/JargonMeansDefinition
I2CInter-Integrated Circuit

A hardware communication protocol intended for small distance communication, such as between chips or modules. It is a two-pin bus protocol using SDA (Serial Data) and SCL (Serial Clock), with data being transmitted on the clock edge. While the exact communication protocol (involving headers and ACK bits) is beyond the scope, one nice feature is that all lines are pulled high by default; to use, a transmitter will actively pull the lines low. This is how we can establish priority and avoid contention; if you as a transmitter are sending your header, and you notice that the line is pulled low when you want it to be high, some other transmitter is doing so, and you should relinquish priority to them. Compared to SPI (which occupies the same use space), while it requires fewer data lines as a bus protocol, it is not as fast (up to 400Kbps)

ICIntegrated Circuit

A collection of circuit elements integrated onto a single piece of silicon. While there is no consensus as to who first proposed/invented the IC, the first realization of an IC is generally given to Jack Kilby in 1958.

IPIntellectual Property

A product from an individual or group that is a result of their creativity, for which they have the rights to. While other industries have intellectual property, it is especially important in chip design; many companies license IP to build their designs, and additionally send their IP to foundries, where they need to be confident that it will be secure. Having designs fall into the wrong hands can be devastating for a company. As such, many companies have rigorous procedures to keep their IP secure, such as encryption, workflows, and general work practices. However, open-source designers don't need to worry about this, as their designs are meant to be publicly available, leading to increased collaboration and accessibility.

ISAInstruction Set Architecture

A specification that serves as a contract between hardware in software. In essence, the specification models a type of computer without defining the implementation. Included are the available instructions and semantics, data types, registers, any hardware support, and how I/O's are handled, to name a few. It informs hardware designers of what they are responsible for achieving in order to implement the ISA, as well as informing software designers of what functionality they have available. As long as both the software and hardware adhere to the ISA, they should be compatible. Examples include ARM, x86, RISCV, and many more.

J:

Acronym/JargonMeansDefinition



K:

Acronym/JargonMeansDefinition
Keeper
A component in a dynamic circuit meant to maintain the dynamic node's charge. With dynamic circuit, the common implementation is to precharge a node high, and have the logic pull it low if necessary. However, if the node is meant to stay high (i.e. not pulled down), since it is not actively driven, it may lose charge over time due to leakage. To maintain our value at full voltage, we use a keeper, a weak nMOS that's gate is attached to the inverted output, and connects the output to VDD. In this manner, if the output is meant to be high, the keeper will be active, passing VDD to the output to maintain it at a high level. However, we must be careful when sizing a keeper; it should be weak enough such that if the output needs to be pulled from high to low, it will not interfere with the change.

L:

Acronym/JargonMeansDefinition
Lambda (Rules)

Rules for sizing the layout of circuits. These circuits were designed in units of lambda, which was usually half of the minimum channel length. Other dimensions, such as the minimum spacing between traces or the required overhang for poly, were also defined in lambda. This way, one technology had progressed to a smaller size, all the designer would have to do to have their designs in the new technology is change their value for lambda, scaling down the entire design.

As processes developed, starting around 180nm, the intricacy of the rules and unequal scaling led to lambda rules being discarded in favor of measurements in raw microns. However, gaining an understanding of lambda rules gave physical designers a good idea and intuition behind what their designs could and "should" look like.

Latchup

A phenomenon that occurs in CMOS technology. Due to the neighboring wells in the substrate, an nMOS and pMOS can form a PNPN regime, making them represent an unintentional cross-coupled BJT pair (or a thyristor, when referred to as one component). The danger of this is that the base currents for the BJT's can self-sustain one another, keeping them both perpetually ON and shorting VDD to ground. The details of how it occurs is out-of-scope (feel free to research though!), but there needs to be some external interferance/excitation, as well as significant well/substrate tap resistance. Because of this, the wells and substrates often have multiple taps do decrease the resistance/drop on the connection, and packages are made out of radiation resistant material, to avoid excitations from alpha particles. Other solutions that can eliminate the possibility of latchup completely are silicon-on-insulator or shallow trench isolation techniques, as well as the more conventional triple-well CMOS approach.

(Fun fact: when IC's were being developed, many manufacturers noticed that they began to fail more in Denver for some reason. Later, we figured out that it was due to Denver's altitude and increased exposure to cosmic radiation, making the chip more vulnerable to latchup, as well as bit flips)

Layer

See M1/Metal1

Layout

A document of where "things" are located on a chip. This might be at a high-level with overall modules (i.e. a picture showing blocks in approximate locations to represent elements of the overall architecture), but can also refer to a more detailed specification, such as exact specifications of where metal is on the chip. The latter is generated by ASIC flows towards the end of the flow, but can also be created by hand through tools like Magic and Cadence Virtuoso.

Leadframe

Part of the packaging of an IC. Specifically, it is the metal frame that contains the leads. During the final step of manufacturing, the die is placed in the center, with connection to the leads occurring via either wire bonding or flip-chip. The entire frame is then surrounded by a black plastic (injection molding) before being separated, with the leads turning into the legs of the IC.

Leakage

A static phenomenon where transistors that are "off" still let a little bit of current through (as they aren't perfect insulators). This "leakage current" can cause significant power consumption with no actual "work" done, and is therefore important to minimize. Leakage current decreases with increasing Vth

LEFLibrary Exchange Format

An abstracted physical view of the cell, including the PR boundary, pin position, and information about the layers involved. However, it does not contain the actual layout of the cell; that is contained in the DEF file, with both required for a complete view of a cell. They are denoted by the .lef file suffix

LIBLiberty Timing File

A file that specifies timing information about a cell. This includes the input and output capacitance of the cell, as well as the delay from every input to every output of the cell. Cells are often characterized by multiple LIB files for the many different corners. They are denoted by the .lib file suffix

Lint/Linting

The process of running your RTL design through a linting tool, a static analysis tool. Linting is used to assess whether your design will compile. In addition, it also aims to check for buggy or poorly-formed RTL, such as inferred latches, unused declarations, blocking vs. nonblocking assignments, unconnected ports, and multi-driven nets, to name a few. Many linting tools will check your RTL against thousands of guidelines and rules.

Linting can be a powerful tool, as it can help a designer catch errors in their design before running a simulation. This can be especially useful for very large designs, where running a simulation can take a very long time, but static checks will still be quick.

Lithography

A planographic printing process. Chips specifically use photolithography, a method of patterning materials using light. If you hear someone in the semiconductor industry reference "lithography", they are referring to photolithography.

LUTLook-UTable

A table that takes in an input, and "looks up" a predefined output for that input. Commonly used in FPGA's to implement generic logic functions.

LVSLayout Versus Schematic

The process of confirming that our final design has the same functionality as our schematic. Our final layout is extracted, and compared against the layout; a design is said to be LVS clean if these two match, indicating that the layout will function the same as the schematic. However, this process gives no guarantees that the design can be manufactured - that is done by LVS, leading to the two often being performed together.

If a design isn't LVS clean, the IC will not function as you intend. However, it will still be manufacturable; because of such, a foundry does not care if your design is LVS clean (and will make your non-functional design regardless), so it is important you take the time to check it yourself.

M:

Acronym/JargonMeansDefinition
M1/Metal1

A notation for the first metal layer. The many metal layers involved in routing the silicon on the chip are denoted by MX or MetalX, where X is the number of the layer, increasing "upwards"/away from silicon (think of them as floor numbers in a skyscraper, with silicon being the ground floor or basement). Often in chips, the layers will increase in pitch going upwards - as an example, M1 is very small and used only for local interconnects, but a layer like M9 will be much larger, and used for global signals that need to travel long distances with less resistance.

Macro

A representation of a block in your design. The block can be later substituted in, but in the meantime, is abstracted away to many different views. This allows for ease of processing; for example, when simulating the functionality of a block, we don't need the entire layout/GDS, but could instead use a functional representation, and switch the block in at a later point. A good example of this might be a custom memory block that we represent separately in Verilog.

Note that this is often what's done with standard cells in an ASIC flow; in this sense, standard cells are macros.

Magic

An open-source application that allows the user to manually create a layout by drawing the different layers of the chip. It also includes some integrated DRC and extraction tools.

Mask

A plate (usually made of quartz) that your designed is patterned onto. It only allows light to shine through in the pattern of your design, allowing for the pattern to be transferred in the photolithography process. The cost of making the masks for a wafer is the majority cost of manufacturing; this is why making one chip is very costly, but making 10,000 more is relatively trivial, allowing large companies to benefit from economies of scale.

MEMSMicro-electromechanical Systems

The technology of creating microscopic devices that combine mechanical and electrical aspects. These are commonly incorporated onto chips when there is an innate need for a mechanical component due to the intended function, and can range from a few micrometers to millimeters. Their range of applicability is very extensive, including accelerometers, hard drive read/write heads, sensors, and beyond. 

Modularity

A design principle that requires that different components of a design are able to be viewed separately, with well-defined interfaces. This not only allows for unit-testing of components in isolation, but for ease of replacement/extensibility; if you have a well-defined interface, you could connect a variety of components, so long as they support that interface as well.

Moore's Law

Gordon Moore (1292-2023) was a co-founder of Intel. In 1965, while working at Fairchild Semiconductors, he was asked to contribute to the 35th anniversary of Electronics magazine with a prediction of the semiconductor industry. He responded with a observation/prediction that the number of transistors on a chip will double about every 2 years (18-24 months, depending on who you ask). Despite it being a "wild extrapolation" (according to Moore), this observation held true well beyond Moore's expected lifetime of 10 years, and has been known as Moore's Law. The progress described by it has even been used as a target for the industry as a whole. In modern times, keeping with Moore's Law has become increasingly difficult; you may hear people talk about this being the end of Moore's Law.

MOSMetal Oxide Semiconductor

A style of making capacitors with three layers; metal, oxide, and semiconductor. The metal and semiconductor serve as the ends of the semiconductor, with the oxide insulator as the dielectric. The capacitance (and the control the metal has over the channel in a MOSFET) can be increased with higher permittivity in the oxide (higher k), lower oxide thickness, or by modifying the work functions of the metal and semiconductor interfaces, such as with silicide between the layers.

The semiconductor is almost always made of silicon (although some research efforts have used germanium).

The metal used to be aluminum; however, this caused issues with more advanced processes, where the high temperature needed caused the aluminum to melt. Because of this, more modern nodes have used polysilicon (polycrystalline silicon) as a replacement. Even more recently, issues have been found when interfacing polysilicon with high-k dielectrics, leading for some processes (such as Intel 45nm) to return back to metal gates. It is still common to generally consider gates to be made of polysilicon.

The oxide was traditionally silicon dioxide (SiO2). However, in an effort to get higher k and lesser tunneling current, other dielectrics have been used, such as hafnium dioxide (HfO2). Silicon dioxide is often still included as a thin layer between the oxide and semiconductor to improve the work function and channel mobility.

MOSFETMOS Field-Effect Transistor

A transistor where the source and drain are separated by a channel. Charge carriers can be pulled into this channel by the MOS capacitor (with the gate connected to the metal), allowing for the gate to control charge flow from the drain to the source without any loss of current (aside from those used in parasitics)

N:

Acronym/JargonMeansDefinition

Netlist


A machine-readable file that contains all of the connections in your design. While RTL is human-readable, it is very difficult for a simulator to directly interpret. Instead, we often synthesize our RTL into a gate-level netlist, which specifies all of the gates used in our design, as well as their connections, allowing a machine to understand and simulate the design. This is usually the first step in any ASIC flow.

Network Topology


The physical/logical arrangement of nodes and connections in a network. In hardware, this usually refers to the arrangements of blocks, transistors, etc in a chip connected by wires.

(Technology/Process) Node

A specific manufacturing process and its design constraints, also referred to as a given technology. It is a specific PDK that is used to manufacture designs.

Nodes are often associated with a given length (or number, in units of nm). For example, you might refer to the Skywater 130nm node. This number used to refer to the gate's half-pitch and it's length. However, more advanced nodes have strayed away from this meaning, somewhat due to marketing purposes (as well as differences in scaling between the length and the pitch), such that the associated length has lost much/all of its meaning.

O:

Acronym/JargonMeansDefinition
Op AmpOperational AmplifierAn analog component that amplifies the different in voltage between two nodes. It is often operated with negative feedback to ensure that it stays in the "linear regime" (where the difference between the nodes is amplified linearly and not encountering saturation of what the Op Amp can produce). This was the design present on C2S2's Analog Spring 2023 tapeout!
OPCOptical Proximity CorrectionA technique used in mask design to achieve higher resolution (smaller feature size). Specifically, it is used when the desired resolution approaches the wavelength of the light used for photolithography, and the diffraction of the light becomes non-negligible. In this case, the mask is modified to anticipate the effects of diffraction (simulated using special physics simulators). Because of this, the mask may no longer be the exact desired pattern, but is intended to result in the desired pattern being exposed to the chip, with diffraction considered. These masks are often identifiable by their jagged edges and enlarged corners.
OTPOne-Time ProgrammableA quality of memory, indicating that is only programmed once during fabrication. This is done by using a fuse - a thin interconnect of metal. Once the memory is programmed, a larger voltage is applied; since the fuse is thinner, it will have a larger resistance, and will dissipate a lot of heat, causing it to melt/explode. This breaks the interconnect and prevents further programming. This is useful for memory that shouldn't be written by the consumer, such as the BIOS, as well as any ID's or serial numbers.

P:

Acronym/JargonMeansDefinition
Package
The case surrounding a chip, intended to prevent damage/corrosion while allowing external access to the electrical interconnects. Many different styles of packages exist; some are detailed on this page
Packet
A collection of data, as well as some other headers (extra metadata), such as the format of the data, its intended destination, and other information that's required to correctly interpret it. The concept of packets is used in networking/interconnects to indicate a chunk of information that is transmitted together.
Pad
A large block of metal on a die, intended for external connections. These often (although not always) have some minimal ESD protection associated with them via diodes, as well as large drivers to drive signals off-chip (if they are an output). It is here that we make external connections to the die. Due to the prevalence of wire-bonding, you will most often find pads along the perimeter of the die (see Padring)
Padring
The ring of pads that surround a design on the chip. These are located along the perimeter for use in wire-bonding processes. A high-level layout will often include the location of signals among the pads on the padring. Note that Caravel from eFabless comes with its own padring that the user isn't responsible for.
Parasitics
Any electrical element that occurs not by design, but as a by-product of layout. For instance, if two nets are close together, there may be some parasitic resistance through whatever's separating them (i.e. they aren't perfectly electrically isolated), even though we didn't intentionally put a resistor there. At some level, parasitic resistance/capacitance/inductance will always exist, but are usually very small; we decide as designers how much we care about them. These parasitics can affect the delay between nodes, the frequencies at which we can operate, and how adjacent nodes or interconnects can affect each other.
Path
In ECE, a path is a route that a signal can take through wires and components. Specifically, we're often interested in combinational paths, which are paths that don't include any state (see Combinational). These paths naturally extend from one register to another, and are used to help determine the timing of a design.
PCBPrinted Circuit Board

A board with electrical contacts and connections, used to assemble a complete circuit on. They are composed of the following materials (going by layer from outside in):

  • Silkscreen: A layer of ink used to provide indications on the board. This often includes part indicators (what part should go where), part outlines, and possibly some limited functional description (ex. which way a switch is turned to be on)
  • Solder Mask: A thin layer of polymer used to protect the copper we don't want exposed from oxidation, as well as to protect against unwanted solder bridges between neighboring connections. On most circuit boards, this polymer appears as a distinct green
  • Copper: Copper traces and planes connect the different components on our circuit board (copper is used for its low resistance)
  • Substrate: A glass epoxy (or similar material) is used as a substrate for the board, to provide structural support and insulation for the copper connections

PCB's usually have multiple layers of copper within the substrate to facilitate more complicated circuits with more connections. The minimum is often 2 for top and bottom; 2 and 4 are common, although more custom PCB's may have many

PDKProcess Design KitA collection of specifications for a factory's manufacturing process. This includes design rules (DRC and LVS), various models of components and standard cells (behavioural, timing, SPICE, etc.), verification tools that can assist a designer, and other specifications (such as the number and dimensions of metal layers). In essence, a PDK contains everything a designer would need to know from the foundry in order to make a design that the factory can manufacture successfully.
PDNPower Delivery/Distribution Network

The system that delivers power across your design. This starts from a regulator (either off-chip or on-chip as a Voltage Regulator Module, or VRM), and ends with all of the components on the IC that require power. The goal of the PDN is two-fold:

  • Everything that needs power should get it. Because of this, a chip will often include power "rails"; large strips of metal that stretch across the area of the die, connecting down to any component that needs power. This often connects to a power ring; metal rings around the main die area that are associated with given voltages. These connect to the pads associated with those voltages
  • The power supply should be stable. Large fluctuations in the voltage of our power supply could affect functionality in our chip. Because of this, decoupling capacitors are often used to "smooth" a power supply; capacitors resist changes in voltage, so they are used to ensure that our voltage doesn't change too much all at once.
PDNPull-Down NetworkA component of a transistor-level gate design that pulls the output "down" (drives it to a logical 0) when the appropriate logic conditions are met. This is implemented using nMOS transistors, as they pass 0's better than pMOS transistors can. It is the complement to the PUN.
Perfboard
See Protoboard
PEXParasitic ExtractionThe process of extracting a design specifically to gain more information about the parasitics involved in layout. This is more common in Digital design (in Analog, it would just be extraction), where our layout was generated by a computer; it will have unintentional parasitics. Capturing these parasitics in extraction allows us to simulate our design with them present, allowing us to verify that our design will still function as intended even with the presence of parasitics (see Back-Annotated)
PGAPin Grid ArrayA THT IC package that includes a large array of through-hole pins on the underside. Flip-chip mounts often use this style (FCPGA), gaining the additional benefit of having the die closer to any cooling mechanism present. PGA packages are often also characterized by their substrate, such as ceramic (CPGA), organic plastic (OPGA), or regular plastic (PPGA)
Photolithography

The process by which a design is transferred onto a chip. The general outline of steps is:

  • Clean the Wafer: Ensure the wafer is clean, such as by using CMP
  • Deposit: If the wafer is being etched, a thin film of whatever is being etched is first deposited on the surface. This can be done in a variety of methods, such as Evaporation, Molecular Beam Epitaxy, or Sputtering
  • Spin Resist: Photoresist is applied to the wafer, and "spun" to have a smooth, thin layer across the wafer.
  • Baking: The resist is often "baked" (heated) to improve adhesion and establish photosensitive properties. It can sometimes even be baked two times (a "soft" bake, followed by a "hard" bake). Additionally, the thickness of the resist can also decrease here by about 25%
  • Expose: The wafer is exposed to light (usually UV - smaller wavelengths for greater resolution) normal to the surface through the mask with our desired pattern. This results in the resist being more or less soluble where exposed due to the breaking or forming of polymer bonds (respectively), depending on the type of resist
  • Development: Here, the soluble photoresist is chemically stripped away. This leaves behind only the less soluble resist, importantly in our desired pattern
  • Etch/Deposit: At this point, we have a layer of photoresist in our pattern on our wafer. At this point, one of two things is done:
    • If we've deposited a material previously, we can etch it using an etchant that doesn't affect our photoresist. The resist will therefore protect the areas that it covers, resulting in us etching the desired pattern into our previous material. This technique is known as etch-back
    • We can also deposit a material, knowing that whatever is deposited on our resist will be removed with the resist, resulting in a layer on the wafer in our pattern. This technique is known as lift-off. Often, the biggest challenge can be to ensure a clean break in the material deposited on the resist versus that deposited on the wafer; to ensure a clean break, we can either use a solvent to swell the resist, or have our process specifically designed to undercut these edges to avoid connection
  • Strip Resist: Finally, our remaining resist is stripped away, leaving behind a patterned layer of material

This process is repeated for each layer on the chip to gradually form the entire IC

Pinout
(Pronounced pin-out) An association between the electrical contacts ("pins") of a module/chip and their associated function. For instance, a pinout might tell you that the top-left corner of a chip is the "ground" pin for that chip.
Pipeline(d)
A quality of a computational system where various steps/stages are separated by registers that store intermediate values. This means that a single transaction might take multiple cycles, but since pipelines are often designed to split up the critical path, the clock can run faster, resulting in faster cycles. This means that the overall transaction latency (the time it takes for one transaction to complete from start to finish) might not be impacted. However, since we can have multiple transactions in the pipeline ("in-flight") at a time, our overall throughput (number of transactions per unit time) will increase, resulting in a faster design.
Pitch
The minimum center-to-center distance between interconnects on an IC, given by the PDK. The pitch is often a good representation of how small a PDK is, with smaller nodes being more advanced. It also can help us get rough estimates of how large a design will be. The pitch can be expressed in terms of absolute distance or in terms of lambda (see Lambda Rules)
PNRPlace anRouteA step (sometimes viewed as two) in the ASIC Flow. Here, we place our standard cells and other components on the chip, and route them together to connect corresponding nets across the chip. Both of these steps are often separated into "global" and "detailed" versions to allow computers to deal with the task at different levels of complexity (see GPL, DPL, GRT, DRT)
PR BoundaryPlace and Route BoundaryA physical boundary around a standard cell or component, contained in the LEF file. This boundary helps the computer know how much space the cell occupies, so that it can place it alongside others without any overlap or unnecessary gaps. It can also help designers form a early, rough estimate about how much space their design will take up; simply the sum of the area contained in the PR boundaries of all the cells used.
Processor
A computational engine that takes in instructions and operates on data based on said instructions. Processors near-always interface with memory of some sort to store data.
Protoboard
A board that is meant for intermediate circuit development. It contains many holes intended for THT components, as well as pads around the holes to allow for ease of creating solder bridges to connect them. It is more permanent than a breadboard (components are connected and fixed in place by solder), but less permanent than a PCB (connections are still exposed and often not as neat as possible, as it was done by hand with no access to multiple layers)
PTLPass Transistor LogicA family of logic styles where values are passed through transistors, as opposed to always being driven to rail voltages as in CMOS. This can lead to faster designs and simpler implementations (non-inverting by nature), at the cost of having to worry about signal integrity in the presence of noise, as well as the ability to drive designs with large fanout.
PUNPull-Up NetworkA component of a transistor-level gate design that pulls the output "up" (drives it to a logical 1) when the appropriate logic conditions are met. This is implemented using pMOS transistors, as they pass 1's better than nMOS transistors can. It is the complement to the PDN.
PVTProcess Voltage and Temperature

Three key parameters that affect how our chip will function: process (variations in manufacturing), voltage (at what voltage we operate at), and temperature (at what temperature we operate at). Variations in these three characteristics can affect our design and its timing; because of this, it is important to simulate and verify our design across a range of these parameters to ensure that we meet timing (see Corner)

Process: Variations in our process design that can affect how signals propagate. These refer to the speed of the nMOS and pMOS in our design; specifically:

  • FF: Fast nMOS, fast pMOS
  • SS: Slow nMOS, slow pMOS
  • FS: Fast nMOS, slow pMOS
  • SF: Slow nMOS, fast pMOS
  • TT: Typical speeds for both nMOS and pMOS

The most important of these are the first two: FF will be the worst case for hold time violations, and SS will be the worst case for setup time violations.

Voltage: Chips can also usually be run and tested at different voltages. Higher supply voltage will increase the speed of signals (at the cost of more power, in accordance with Ohm's Law) due to quicker switching of the transistors. However, with large voltages, this benefit will plateau as the interconnect delay (signal delay from the wires and interconnects) begins to dominate.

Temperature: Typically, chips are tested from a temperature range from -40°C to 125°C. This can have different effects on the propagation speed of a signal. At higher voltages, temperature has a negative effect; speed decreases with temperature. However, at lower voltages, temperature has a positive effect; speed increases with temperature. Because of this, it is important to test our designs across the temperature spectrum for setup and hold time violations

Q:

Acronym/JargonMeansDefinition
QFNQuad Flat No-LeadA SMD IC package that includes many electrical contacts around the perimeter for electrical connections, as well as one large contact on the bottom (commonly used for heat dissipation, and often the ground contact of the chip). This package has no "leads" (pins); the entire base of the package (including all the contacts) is flush, and adheres to the PCB as a surface-mount device. Compared to a QFP package, they are typically smaller in size, and have larger/fewer contacts (fewer than 32)
QFPQuad Flat PackageA SMD IC package that includes many pins/leads around the perimeter. It is used when the chip requires many contacts (32 to 100+). Compared to a QFN package, they are typically larger in size, and have more, smaller contacts.

R:

Acronym/JargonMeansDefinition
RAMRandom-Access Memory*

A type of memory where different pieces of data can be accessed "at random" by their address (as opposed to other ways of addressing memory, such as with Serial-Addressed Memory or Content Addressable Memory (CAM)). Specifically, RAM refers to volatile memory, as opposed to nonvolatile memory like ROM.

*Both RAM and ROM fall under the general category of random-access memory, but RAM only refers to volatile memory, leading to confusion over the name. Similarly, ROM refers to non-volatile memory, meaning that it can be written as well as read, making it a misnomer as well.

RegexRegular ExpressionA sequence of characters that specify a match pattern; a pattern that will either "match" or not "match" a string. It is often used in test searching programs or input validation; we can tell what we're searching for, or valid inputs, based on whether they "match" the pattern we've specified.
Register
A hardware component that actively holds state; one can write to a register, and read the value back out at a later time. Compared to RAM, registers take up much more space and power to store memory, but can be accessed much quicker. Because of this, registers often hold intermediate values that are read and written frequently by our processor.
Regularity
A design principle that emphasizes module reuse. Instead of using many different modules, regularity encourages us to break our design down into many of the same module. This can lead to code reuse (mitigating the likelihood of errors), known as structural regularity (regularity in the design), as well as compact/repeatable layouts, known as physical regularity (regularity in the physical implementation).
(Photo)resist

A light-sensitive material (think syrup-consistency) that is used in photolithography. It is typically composed of resin (a binder that provides physical characteristics, such as adhesion and chemical resistance), sensitizer (a photoactive compound with polymers), and solvent (to keep the resist liquid). Resist comes in two types:

  • Positive photoresist becomes more soluble when exposed to light. In photolithography, this means that the developer will wash away the exposed area
  • Negative photoresist becomes less soluble when exposed to light. In photolithography, this means that the developer will wash away the non-exposed area
RISCReduced Instruction Set ComputerA family of ISAs, considered to be introduced by IBM in the late 1970's with the IBM 801 (although the term was later coined by David Patterson in the early 80's). Specifically, RISC ISA's define simple instructions that only have one task. This leads to easier hardware development (simpler designs and easier decoding), but often larger/more complicated programs. Because of this, programs for RISC architectures will often take more instructions than those for CISC architectures, but the hardware can often execute more instructions per unit time due to the instructions' simplicity and ability to be pipelined.
RISC-V
A RISC ISA born in 2015 out of UC Berkeley. Specifically, this ISA was the first to be open-source, with the RISC-V Foundation formed to maintain and ensure the stability of the ISA, allowing for the quick and widespread popularity that it gained. It is designed with extensibility in mind; beyond the base ISA, several extensions have been introduced to allow for hardware support of specific workloads.
Rising Edge
The transition of a digital signal from a logical 0 to a logical 1. A clock's rising edge is when most of our sequential circuits are triggered and data transfer occurs.
ROMRead-Only Memory*

A type of memory where different pieces of data can be accessed "at random" by their address (as opposed to other ways of addressing memory, such as with Serial-Addressed Memory or Content Addressable Memory (CAM)). Specifically, ROM refers to nonvolatile memory, as opposed to volatile memory like RAM.

*See footnote of RAM

Router
A module/piece of hardware that takes a packet from one input, and directs it to one of several outputs, depending on where the packet is intended to go. Often viewed as the complement of a switch.
RTLRegister-Transfer Level

A level of representation of hardware. It abstracts away a piece of (synchronous) hardware to how data flows between registers, and the logical operations that are performed on it along the way.

Note that many people will say "At the RTL level", instead of simply "At the RTL", meaning that they say "level" twice, similar to chai tea, naan bread, the Sahara Desert, and an ATM Machine. If you want to be both understood and technically correct, I recommend simply "At the register-transfer level" (smile)

RTL Simulation
A simulation of a piece of hardware at the register transfer level (see RTL). This includes both 2-State and 4-State simulations.

S:

Acronym/JargonMeansDefinition
Schematic
A symbolic diagram representing a hardware design. It is meant to convey what components are involved and how they are connected at a high-level.
Self-Aligned (Gate)

The gate of a MOSFET that is "self-aligned" by the MOS capacitor.

Previously, as a chip was built from the ground-up, the source and drain regions of a MOSFET were doped before the gate was placed on top. The gate must therefore be carefully aligned between the doped regions; if the mask was slightly off, the gate would be shifted and cause alignment problems, as it wouldn't cover the whole channel.

With modern processes, gates are "self-aligned"; the MOS capacitor over the gate is formed before the doping of the source and drain regions. In this way, the MOS capacitor can act as a mask for the gate region, such that we can dope all around the MOS capacitor, and have the channel region protected by the MOS capacitor, ensuring that our source and drain doped regions go right up to the channel. In this way, the gate aligns itself, hence "self-aligned"

Sequential (Logic)
Logic that retains state; the outputs are a function of the inputs, as well as previous inputs.
Setup Time

A timing constraint present in synchronous circuits. Specifically, setup time is the amount of time that an input signal (to a register) must remain constant before a clock's positive edge. If the clock edge causes this input to change within our hold margin (the amount of time before an edge with a duration of our setup time), the output and stored state may be unpredictable; in this case, we have violated setup time.

Compared to hold time violations, this is an easier issue to fix; in the worst case, we just slow down our clock speed, so that while our hardware may run slower, all signals would have time to propagate as far as they need to.

Short
An unintended connection between two electrical nets; if present, those nets are considered "shorted". If two metal wires are too close together on the chip, they may accidentally be shorted during manufacturing; because of this, our DRC rules specify how far away from each other adjacent traces must be.
Silicon
The primary element with which chips are made. It was chosen due to its crystalline structure, ability to have a large flat area with low global defects and minimal distortion, and feasable additive/subtractive processes (such as doping), as well as its plentiful availability in nature (sand!). That being said, ongoing research is exploring other options for semiconductor manufacturing, including GaN and GaAs.
Simulation

A representation of how something would behave; in our case, how computer hardware would proceed. Simulations can come in a variety of flavors (2-State RTL, 4-State RTL, Gate-Level, Back-Annotated GL), depending on how thorough you wish to be; the list above increases in precision, but also simulation time.

If a simulation takes too long, an FPGA can often be used to emulate the desired hardware, allowing for faster "simulation" times.

Skew

A difference in timing between when clock signals arrive at different points of the design. This is specifically relevant between two registers where the data transmitted by one is received by the other. The type of skew indicates how much time later the receiving register received the clock signal compared to the transmitting register; positive skew indicates time was added to the receiving register's signal, and it arrives later, whereas negative skew indicates time was taken away, and the receiving register gets the clock earlier.

Clock skew can affect our setup and hold time constraints. Our clock tree aims to minimize skew between closely-connected parts of a design.

Slack
The difference between the time a signal is required to reach a sequential circuit by (based on our clock speed), and the time it actually arrives in simulation. Positive slack indicates that our signal arrives before it needed to, and meets timing requirements. Negative slack indicates that the signal arrives after it is required to, causing a setup time violation. In order to function properly, all paths must have positive slack.
SMDSurface-Mount DeviceA style of package where components sit directly on top of the PCB, with the contacts resting directly on top of their intended connections. While these components aren't as easy to solder as THT components, they can be made with smaller/denser connections, and avoid disturbing any routing intended for other layers. Commercial/industry boards use primarily SMD components for this reason.
SMTSurface-Mount TechnologySee SMD
SOISilicon-On-InsulatorA process where transistors are fabricated on an insulator, instead of conventionally on a conductive substrate. This aids in reducing the parasitic capacitance between the source/drain and body of the transistor, improving the switching speed. It additionally results in lower subthreshold leakage and a higher subthreshold slope, with the drawback being time-dependent threshold variations, caused by the floating body in conjunction with the body bias effect.
SOICSmall-Outline ICA SMD IC package where pins are arranged in two rows on either side of the chip. It is similar to DIP, except SMD instead of THT, as well as a considerable amount smaller (shorter and narrower, occupying 30-50% less area, as well as 70% less thick). Note that there are different standards for SOIC, and they are not interchangeable (see SOP)
SoCSystem-On-Chip

A concept where all of the different computing modules (such as a CPU, memory, I/O ports, graphics units, etc.) are all integrated onto a single chip. This contrasts with a motherboard approach, where the different units are separate chips connected on the PCB. For the same functionality, SoC's have higher performance and lower power consumption (as they don't need to drive signals across the PCB), as well as less overall die area. However, this comes at the cost of reduced replaceability; if one module isn't working, the whole chip doesn't work, as you can't replace just the one module. 

This becomes apparent when using external IP. Many companies (such as ARM) sell "IP Blocks"; these are modules that can be integrated into your SoC, such as cores, controllers, memory blocks, etc. However, the vendor may need to take extra care to verify them; since they will be integrated on the same die, if the IP turns out to be non-functional, it cannot be easily replaced, and could affect the rest of the chip.

Solder
  • (noun): A metallic composition, designed to melt under relatively low temperatures (180-190°C, or 360-370°F) and provide electrical and physical connections to components. Solder comes in a spool, and is easily malleable to direct where you want it to go. Historically, it has been made out of tin and lead (you may see "70:30" or "60:40" solder, referring to the fractions of tin and lead, respectively), although lead-free solders have recently been introduced, which require slightly higher temperatures. They may also include a rosin core, to improve adhesion to metal contacts (see Flux)
  • (verb): The act of applying solder to establish an electrical and physical connection between contacts.
Solder Braid
A braid of finely-woven copper, coated in rosin flux (usually stored on a roll). Due to the high surface-area, flux coating, and use of copper, solder prefers to stick to the braid than any other connection. It is used accordingly to desolder components; an engineer would put the braid between the solder and the soldering iron, such that when the solder is heated by the iron, it will jump over to adhere to the braid, removing the connection. In this manner, the braid can be used up; once it is saturated with solder, it can't be used again.
Solder Bridge
An (often unintended) solder connection between neighboring contacts. Since the contacts aren't directly pressed together, solder bridges usually require a fair amount of solder to occur. While we aim to avoid them on PCB's (with the solder mask helping us to do so), they can also be intentional, such as in the case of perfboards.
Soldering Iron
A metal stick that, under operation, gets extremely hot (usually via inductive heating) in order to facilitate the melting and application of solder. Many come with an adjustable temperature dial; a good starting place is 600°- 650°F (316°- 343°C), or slightly higher for lead-free solder. One other good practice is tinning a soldering iron - applying a small layer of solder to the tip. This not only helps to prevent oxidation of the tip, but allows the soldering iron to connect to and transfer heat to solder more efficiently and without the solder balling up.
Solder Paste

A mixture of powdered solder, suspended in flux. It can be used similarly to solder for SMD components, but melts easier. To apply it, one usually places solder paste on the pads, puts the component on top, and then directs a heat gun towards the solder paste to reflow it, where the flux melts and causes the solder to adhere to the connections, forming a physical and electrical connection. Solder paste is typically used for smaller connections, as the large amount of flux allows it to "automatically" adhere to the correct locations, assuming the desired contacts are close enough.

Solder paste must be refrigerated when stored in an airtight container, and warmed up to use. It is typically stored in a syringe for manual use. However, for pick-and-place machines, it is stored in a printing mechanism; it is placed where needed, components are placed on top, and then the entire board is heated to reflow all of the solder paste.

Solder Sucker
A spring-loaded mechanism designed to suck solder off of a connection. The spring is pre-loaded, and released by a button to suck whatever is at the tip of the sucker. To use, an engineer would have a soldering iron in one hand, and a pre-loaded sucker in the other. The iron is used to heat the solder on the connection, and the sucker is then used to suck away the solder while it is still liquid.
Solder Wick
See Solder Braid
SOPSmall-Outline Package

A specific type of SOIC package. Specifically, there are three main standards:

  • JEDEC MS-012 (3.9mm body width)
  • JEDEC MS-013 (37.5mm body width)
  • JEITA (formerly EIAJ) Type II (5.3mm body width)

The first two are typically called "SOIC", whereas the third is referred to as "SOP", or even "wide SOIC" (although it is not as wide as the JEDEC MS-013, which may also be referred to as a "wide SOIC")

SPEFStandard Parasitic Exchange FormatA file that contains information about the parasitics of interconnects in a design, denoted by the .spef file suffix
SPISerial Peripheral InterfaceA hardware communication protocol intended for small distance communication, such as between chips or modules, designed by Motorola in 1979. It is a master-slave communication protocol, and involves 4 signals; CS (chip-select, to select which chip we're using, also seen as SS), SCLK (the edges of which determine when data transfer happens), MOSI (Master Out, Slave In; Master→Slave Communication), and MISO (Master In, Slave Out; Slave→Master Communication). The MISO line can be shared by many slaves, with the CS line determining which one talks to the master. While it is not a bus protocol like IC, and requires more data lines, it can also communicate much faster (up to 50Mbps). It is also full duplex, meaning that data can be transmitted and received simultaneously.
SPICESimulation Program with Integrated Circuit EmphasisAn open-source analog circuit simulator. It is the industry standard way to simulate a circuit at the transistor level, allowing the designers to have high confidence when taping out the chip. SPICE takes a text netlist describing the circuit elements, and translates them into nonlinear differential equations that describe the circuit, which are solved during Monte Carlo simulations with various methods (such as implicit integration, Newton's method, and sparse matrix techniques). While SPICE can take a while for larger circuits, it can accurately capture different component variations and operating conditions, as well as extracted parasitics.
SRAMStatic RAMA type of RAM that actively holds the state (statically), through the use of cross-coupled inverters. The most common variety is 6T SRAM, which uses 6 transistors (as the name suggests) to hold 1 bit of state. A lot of work and research has been done to optimize the layout of 6T SRAM. Compared to DRAM, SRAM is faster but uses more power and area. Compared to registers, SRAM is slower but uses less power and area.
SSHSecure Shell

A network protocol that allows users to remotely access other computers over an unsecured network. Additionally, it may refer to the suite of utilities used to implement said protocol. It began in 1995 as SSH-1, which was later found to have flaws. The current version is SSH-2, which originally used a Diffie-Hellman Key Exchange to establish symmetric cryptography keys, although it also supports other algorithms, such as RSA and EdDSA.

SSH is the method with which we communicate with the C2S2 server. If you are using the keys generated by the setup script, they operate on the RSA algorithm (specifically using a 3072-bit key)

STAStatic Timing AnalysisThe process of checking timing constraints (setup and hold time) across a design. The process is "static", as it doesn't actually simulate signal flow; rather, STA analyzes the delay along all register-register paths, and check to see that they all satisfy setup and hold time. This is an important verification step to ensure that our chip will "meet timing". If there are hold time violations, buffers may be inserted to increase the shortest possible delay. If there are setup time violations, the tool may try to optimize the path (such as reducing interconnect length or changing sizing of gates), but may also fail if our target clock period is too aggressive for our design, in which case we would attempt to run the flow with a longer clock period (a.k.a. a slower clock)
Standard Cell

A group of transistors that provide a defined logic function. A standard cell library will include many of these cells (in various views, such as behavioral, physical, etc.) that the tool can use to synthesize the design.

These cells share many common physical characteristics, making them "standard". Included in these are height and power rail location, pitch of inputs/outputs, and transistor sizing (they are usually multiples of one another). This regularity helps the tools to place and route them in an organized, dense fashion.

Static (Power Consumption)
A type of power consumption that occurs just from the chip having power. With digital design, this is usually just the leakage of the transistors (see Leakage). In larger designs, this can get to be a lot of power even when not all of our design might be used at a time (think of how often you actually use your USB ports or speakers); in this case, we can implement various types of gating to save power (see Gating)
STIShallow Trench IsolationA process where oxide is used to isolate different doped wells in the substrate from unwanted capacitive coupling. While is was popular and cheap when introduced, it is now outdated, replaced by SOI technology
Stick Diagram
A symbolic design to represent a layout, anywhere from a gate up to an entire chip. Stick diagrams portray traces and doped regions as only lines, or "sticks". They are used to convey high-level topographical information, without exact sizes or dimensions.
String
A sequence of characters; a term for text in computer science.
Switch
A module/piece of hardware that has the ability to take packets from multiple inputs, and arbitrates between them to send them to a single output. Often viewed as the complement of a router.
Synthesis
The process of turning our RTL design into a gate-level netlist, so that a machine can deal with it in terms of only cell instantiations and connections.

T:

Acronym/JargonMeansDefinition
Tapein (Tape-in)

An informal term for an "internal tapeout" by the design team to signify a significant checkpoint, as well as a design that could be used, should further improvements fail. The term was coined by Professor John Wawrzynek at UC Berkeley around 2010.

Tapeout (Tape-out)

The act or process of sending your finalized designs (GDS) to the foundry. The term originated in the 70's, with two prevailing theories as to its origin:

  • ASIC files were stored on magnetic tape. Back when companies both designed and manufactured, this meant that the tape was carried out the door to the foundry; hence, "tapeout"
  • Early IC's were made with sticky tape to create the masks during fabrication (similar to PCB's)

While Weste and Harris prefer the first explanation, the second is more likely, as early VLSI designers used paper tape before magnetic tape

Threshold (Voltage)
The voltage between the gate and source of a MOSFET (VGS for nMOS, VSG for pMOS) required to "turn on" the transistor (allow charge carriers to flow from the drain to source). Commonly denoted as Vth, increasing it will result in less static power consumption (the MOSFETs will be less turned on simultaneously, resulting in less short-circuit current), but longer delay (as the MOSFET gate voltage needs to increase more to turn on, taking more time to get to the voltage).
THTThrough-Hole TechnologyA style of package that includes legs that go through the PCB to the other side. These components are usually easier to solder onto the PCB (you can apply solder from the other side), but can't be as small/dense as SMD components, as well as somewhat limiting the use of the back layer for other routing (as area is taken up on the back layer by the connections)
Timing
To "meet timing" in a design is to ensure that there are no setup and/or hold time violations.
TNSTotal Negative SlackThe sum of all negative slack values across all paths in our design.
Trace
A electrical connection made of metal, either on a PCB or IC (think of a wire, but entirely solid and inflexible)

U:

Acronym/JargonMeansDefinition
UARTUniversal Asynchronous Receiver-TransmitterA hardware communication protocol, often used for serial communication to computer peripherals. It is an asynchronous protocol where data is transmitted from the TX pin of one module (transmit) to the RX pin of the other (receive). While the protocol is simple, well-documented, and asynchronous, the data frame is small, leading to low transmission speeds, limited by the "baud rate". In addition, the protocol doesn't support multiple masters/slaves, unlike other bus protocols such as SPI and I2C. Finally, both modules must agree on a "baud rate"; differences by more than 10% could cause data instability and loss. It is commonly used for computer-device communication.

V:

Acronym/JargonMeansDefinition
Velocity Saturation
A phenomenon that occurs under large V before pinchoff, where the carrier velocity saturates. At this point, the square relationship to VDS usefully becomes linear, but the maximum current is reduced, limiting the transit time of carriers and increasing the delay associated with the transistors.
VLSIVery Large Scale Integration

ICs are often characterized based on their complexity:

NameNumber of Transistors (rough)Number of Gates (rough)

Small-Scale Integration (SSI)

1-101-12
Medium-Scale Integration (MSI)10-50013-99
Large-Scale Integration (LSI)500-20,000100-9,999
Very-Large-Scale Integration (VLSI)20,000-1,000,00010,000-99,999
Ultra-Large-Scale Integration (ULSI)1,000,000+100,000+

That being said, VLSI has become a general term for the process and techniques involved in combining many transistors on a single custom chip.

W:

Acronym/JargonMeansDefinition
Wafer
A large circle of silicon that acts as the substrate for die/chip patterning. Silicon is first melted down, and then grown onto a pure seed crystal of silicon by "pulling" it. This forms an ingot, which is later cut to form the wafers, usually 0.5-1mm thick. The most common industry size is 300mm in diameter (~12in), although 200mm and 150mm are also sometimes used. One notable experiment was trying to use 450mm (~18in) wafers, many of which were manufactured at SunyPOLY in Albany, NY (where C2S2 took a field trip!), although the effort was later largely abandoned.
Waveform
Information about how a signal changes over time, or the file containing such information. Analog waveforms usually display various information (voltage, phase, etc.) over time, whereas digital waveform viewers display 0's and 1's, perhaps formatted in hexadecimal or some other human-readable format.
Wire Bond(ing)
A method of connecting the interconnects of a die to other dies and its packaging (specifically, the leadframe). Specifically, this uses bondwires (small wires) to connect pads along the perimeter of a chip to wherever they need to go. Compared to flip-chip IC's, wire bonding is more cost-effective and flexible, leading to it being the dominant strategy. However, it isn't able to operate at as high speeds due to the (relatively) long wires, and doesn't allow for as many I/O's (as they must be along the perimeter of the die)
WNSWorst Negative SlackThe value of the most negative slack across all paths in our design, and the one that we must focus on fixing for our design to function properly. This is the same as the slack of the critical path.
WLSCPWafer Level Chip Scale PackageA type of packaging that is used for chiplets. The package is the smallest available, and includes solder bumps for connecting to the interposer (see Chiplet), with the only other element being a redistribution network to connect the I/O's from the die to the bumps.

X:

Acronym/JargonMeansDefinition
x8680 x 86A CISC ISA developed by Intel in 1978, based on Intel, 8086 microprocessor (leading to the name). It was originally a 16-bit ISA, but later grew to be 32 bits. It is the most widely used ISA in desktop/laptop computers (you're probably using it right now!), as well as on servers (it's what the C2S2 server uses).

Y:

Acronym/JargonMeansDefinition
Yield
The percentage of fabricated chips that function as intended. This can be affected by things such as mask misalignment, shorts, and other failures. In general, the number of defects increases (and your yield decreases) with chip area, making large monolithic designs difficult. Instead, there has been a movement towards chiplets, where if one chiplet is faulty, it can be easily replaced without scrapping the entire system.

Z:

Acronym/JargonMeansDefinition



  • No labels