Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

Acronym/JargonMeansDefinition

Netlist


A machine-readable file that contains all of the connections in your design. While RTL is human-readable, it is very difficult for a simulator to directly interpret. Instead, we often synthesize our RTL into a gate-level netlist, which specifies all of the gates used in our design, as well as their connections, allowing a machine to understand and simulate the design. This is usually the first step in any ASIC flow.

Network Topology


The physical/logical arrangement of nodes and connections in a network. In hardware, this usually refers to the arrangements of blocks, transistors, etc in a chip connected by wires.

(Technology/Process) Node

A specific manufacturing process and its design constraints, also referred to as a given technology. It is a specific PDK that is used to manufacture designs.

Nodes are often associated with a given length (or number, in units of nm). For example, you might refer to the Skywater 130nm node. This number used to refer to the gate's half-pitch and it's length. However, more advanced nodes have strayed away from this meaning, somewhat due to marketing purposes (as well as differences in scaling between the length and the pitch), such that the associated length has lost much/all of its meaning.

...

Acronym/JargonMeansDefinition
Schematic
A symbolic diagram representing a hardware design. It is meant to convey what components are involved and how they are connected at a high-level.
Self-Aligned (Gate)

The gate of a MOSFET that is "self-aligned" by the MOS capacitor.

Previously, as a chip was built from the ground-up, the source and drain regions of a MOSFET were doped before the gate was placed on top. The gate must therefore be carefully aligned between the doped regions; if the mask was slightly off, the gate would be shifted and cause alignment problems, as it wouldn't cover the whole channel.

With modern processes, gates are "self-aligned"; the MOS capacitor over the gate is formed before the doping of the source and drain regions. In this way, the MOS capacitor can act as a mask for the gate region, such that we can dope all around the MOS capacitor, and have the channel region protected by the MOS capacitor, ensuring that our source and drain doped regions go right up to the channel. In this way, the gate aligns itself, hence "self-aligned"

Sequential (Logic)
Logic that retains state; the outputs are a function of the inputs, as well as previous inputs.
Setup Time

A timing constraint present in synchronous circuits. Specifically, setup time is the amount of time that an input signal (to a register) must remain constant before a clock's positive edge. If the clock edge causes this input to change within our hold margin (the amount of time before an edge with a duration of our setup time), the output and stored state may be unpredictable; in this case, we have violated setup time.

Compared to hold time violations, this is an easier issue to fix; in the worst case, we just slow down our clock speed, so that while our hardware may run slower, all signals would have time to propagate as far as they need to.

Short
An unintended connection between two electrical nets; if present, those nets are considered "shorted". If two metal wires are too close together on the chip, they may accidentally be shorted during manufacturing; because of this, our DRC rules specify how far away from each other adjacent traces must be.
Silicon
The primary element with which chips are made. It was chosen due to its crystalline structure, ability to have a large flat area with low global defects and minimal distortion, and feasable additive/subtractive processes (such as doping), as well as its plentiful availability in nature (sand!). That being said, ongoing research is exploring other options for semiconductor manufacturing, including GaN and GaAs.
Simulation

A representation of how something would behave; in our case, how computer hardware would proceed. Simulations can come in a variety of flavors (2-State RTL, 4-State RTL, Gate-Level, Back-Annotated GL), depending on how thorough you wish to be; the list above increases in precision, but also simulation time.

If a simulation takes too long, an FPGA can often be used to emulate the desired hardware, allowing for faster "simulation" times.

Skew

A difference in timing between when clock signals arrive at different points of the design. This is specifically relevant between two registers where the data transmitted by one is received by the other. The type of skew indicates how much time later the receiving register received the clock signal compared to the transmitting register; positive skew indicates time was added to the receiving register's signal, and it arrives later, whereas negative skew indicates time was taken away, and the receiving register gets the clock earlier.

Clock skew can affect our setup and hold time constraints. Our clock tree aims to minimize skew between closely-connected parts of a design.

Slack
The difference between the time a signal is required to reach a sequential circuit by (based on our clock speed), and the time it actually arrives in simulation. Positive slack indicates that our signal arrives before it needed to, and meets timing requirements. Negative slack indicates that the signal arrives after it is required to, causing a setup time violation. In order to function properly, all paths must have positive slack.
SMDSurface-Mount DeviceA style of package where components sit directly on top of the PCB, with the contacts resting directly on top of their intended connections. While these components aren't as easy to solder as THT components, they can be made with smaller/denser connections, and avoid disturbing any routing intended for other layers. Commercial/industry boards use primarily SMD components for this reason.
SMTSurface-Mount TechnologySee SMD
SOISilicon-On-InsulatorA process where transistors are fabricated on an insulator, instead of conventionally on a conductive substrate. This aids in reducing the parasitic capacitance between the source/drain and body of the transistor, improving the switching speed. It additionally results in lower subthreshold leakage and a higher subthreshold slope, with the drawback being time-dependent threshold variations, caused by the floating body in conjunction with the body bias effect.
SOICSmall-Outline ICA SMD IC package where pins are arranged in two rows on either side of the chip. It is similar to DIP, except SMD instead of THT, as well as a considerable amount smaller (shorter and narrower, occupying 30-50% less area, as well as 70% less thick). Note that there are different standards for SOIC, and they are not interchangeable (see SOP)
SoCSystem-On-Chip

A concept where all of the different computing modules (such as a CPU, memory, I/O ports, graphics units, etc.) are all integrated onto a single chip. This contrasts with a motherboard approach, where the different units are separate chips connected on the PCB. For the same functionality, SoC's have higher performance and lower power consumption (as they don't need to drive signals across the PCB), as well as less overall die area. However, this comes at the cost of reduced replaceability; if one module isn't working, the whole chip doesn't work, as you can't replace just the one module. 

This becomes apparent when using external IP. Many companies (such as ARM) sell "IP Blocks"; these are modules that can be integrated into your SoC, such as cores, controllers, memory blocks, etc. However, the vendor may need to take extra care to verify them; since they will be integrated on the same die, if the IP turns out to be non-functional, it cannot be easily replaced, and could affect the rest of the chip.

Solder
  • (noun): A metallic composition, designed to melt under relatively low temperatures (180-190°C, or 360-370°F) and provide electrical and physical connections to components. Solder comes in a spool, and is easily malleable to direct where you want it to go. Historically, it has been made out of tin and lead (you may see "70:30" or "60:40" solder, referring to the fractions of tin and lead, respectively), although lead-free solders have recently been introduced, which require slightly higher temperatures. They may also include a rosin core, to improve adhesion to metal contacts (see Flux)
  • (verb): The act of applying solder to establish an electrical and physical connection between contacts.
Solder Braid
A braid of finely-woven copper, coated in rosin flux (usually stored on a roll). Due to the high surface-area, flux coating, and use of copper, solder prefers to stick to the braid than any other connection. It is used accordingly to desolder components; an engineer would put the braid between the solder and the soldering iron, such that when the solder is heated by the iron, it will jump over to adhere to the braid, removing the connection. In this manner, the braid can be used up; once it is saturated with solder, it can't be used again.
Solder Bridge
An (often unintended) solder connection between neighboring contacts. Since the contacts aren't directly pressed together, solder bridges usually require a fair amount of solder to occur. While we aim to avoid them on PCB's (with the solder mask helping us to do so), they can also be intentional, such as in the case of perfboards.
Soldering Iron
A metal stick that, under operation, gets extremely hot (usually via inductive heating) in order to facilitate the melting and application of solder. Many come with an adjustable temperature dial; a good starting place is 600°- 650°F (316°- 343°C), or slightly higher for lead-free solder. One other good practice is tinning a soldering iron - applying a small layer of solder to the tip. This not only helps to prevent oxidation of the tip, but allows the soldering iron to connect to and transfer heat to solder more efficiently and without the solder balling up.
Solder Paste

A mixture of powdered solder, suspended in flux. It can be used similarly to solder for SMD components, but melts easier. To apply it, one usually places solder paste on the pads, puts the component on top, and then directs a heat gun towards the solder paste to reflow it, where the flux melts and causes the solder to adhere to the connections, forming a physical and electrical connection. Solder paste is typically used for smaller connections, as the large amount of flux allows it to "automatically" adhere to the correct locations, assuming the desired contacts are close enough.

Solder paste must be refrigerated when stored in an airtight container, and warmed up to use. It is typically stored in a syringe for manual use. However, for pick-and-place machines, it is stored in a printing mechanism; it is placed where needed, components are placed on top, and then the entire board is heated to reflow all of the solder paste.

Solder Sucker
A spring-loaded mechanism designed to suck solder off of a connection. The spring is pre-loaded, and released by a button to suck whatever is at the tip of the sucker. To use, an engineer would have a soldering iron in one hand, and a pre-loaded sucker in the other. The iron is used to heat the solder on the connection, and the sucker is then used to suck away the solder while it is still liquid.
Solder Wick
See Solder Braid
SOPSmall-Outline Package

A specific type of SOIC package. Specifically, there are three main standards:

  • JEDEC MS-012 (3.9mm body width)
  • JEDEC MS-013 (37.5mm body width)
  • JEITA (formerly EIAJ) Type II (5.3mm body width)

The first two are typically called "SOIC", whereas the third is referred to as "SOP", or even "wide SOIC" (although it is not as wide as the JEDEC MS-013, which may also be referred to as a "wide SOIC")

SPEFStandard Parasitic Exchange FormatA file that contains information about the parasitics of interconnects in a design, denoted by the .spef file suffix
SPISerial Peripheral InterfaceA hardware communication protocol intended for small distance communication, such as between chips or modules, designed by Motorola in 1979. It is a master-slave communication protocol, and involves 4 signals; CS (chip-select, to select which chip we're using, also seen as SS), SCLK (the edges of which determine when data transfer happens), MOSI (Master Out, Slave In; Master→Slave Communication), and MISO (Master In, Slave Out; Slave→Master Communication). The MISO line can be shared by many slaves, with the CS line determining which one talks to the master. While it is not a bus protocol like IC, and requires more data lines, it can also communicate much faster (up to 50Mbps). It is also full duplex, meaning that data can be transmitted and received simultaneously.
SPICESimulation Program with Integrated Circuit EmphasisAn open-source analog circuit simulator. It is the industry standard way to simulate a circuit at the transistor level, allowing the designers to have high confidence when taping out the chip. SPICE takes a text netlist describing the circuit elements, and translates them into nonlinear differential equations that describe the circuit, which are solved during Monte Carlo simulations with various methods (such as implicit integration, Newton's method, and sparse matrix techniques). While SPICE can take a while for larger circuits, it can accurately capture different component variations and operating conditions, as well as extracted parasitics.
SRAMStatic RAMA type of RAM that actively holds the state (statically), through the use of cross-coupled inverters. The most common variety is 6T SRAM, which uses 6 transistors (as the name suggests) to hold 1 bit of state. A lot of work and research has been done to optimize the layout of 6T SRAM. Compared to DRAM, SRAM is faster but uses more power and area. Compared to registers, SRAM is slower but uses less power and area.
SSHSecure Shell

A network protocol that allows users to remotely access other computers over an unsecured network. Additionally, it may refer to the suite of utilities used to implement said protocol. It began in 1995 as SSH-1, which was later found to have flaws. The current version is SSH-2, which originally used a Diffie-Hellman Key Exchange to establish symmetric cryptography keys, although it also supports other algorithms, such as RSA and EdDSA.

SSH is the method with which we communicate with the C2S2 server. If you are using the keys generated by the setup script, they operate on the RSA algorithm (specifically using a 3072-bit key)

STAStatic Timing AnalysisThe process of checking timing constraints (setup and hold time) across a design. The process is "static", as it doesn't actually simulate signal flow; rather, STA analyzes the delay along all register-register paths, and check to see that they all satisfy setup and hold time. This is an important verification step to ensure that our chip will "meet timing". If there are hold time violations, buffers may be inserted to increase the shortest possible delay. If there are setup time violations, the tool may try to optimize the path (such as reducing interconnect length or changing sizing of gates), but may also fail if our target clock period is too aggressive for our design, in which case we would attempt to run the flow with a longer clock period (a.k.a. a slower clock)
Standard Cell

A group of transistors that provide a defined logic function. A standard cell library will include many of these cells (in various views, such as behavioral, physical, etc.) that the tool can use to synthesize the design.

These cells share many common physical characteristics, making them "standard". Included in these are height and power rail location, pitch of inputs/outputs, and transistor sizing (they are usually multiples of one another). This regularity helps the tools to place and route them in an organized, dense fashion.

Static (Power Consumption)
A type of power consumption that occurs just from the chip having power. With digital design, this is usually just the leakage of the transistors (see Leakage). In larger designs, this can get to be a lot of power even when not all of our design might be used at a time (think of how often you actually use your USB ports or speakers); in this case, we can implement various types of gating to save power (see Gating)
STIShallow Trench IsolationA process where oxide is used to isolate different doped wells in the substrate from unwanted capacitive coupling. While is was popular and cheap when introduced, it is now outdated, replaced by SOI technology
Stick Diagram
A symbolic design to represent a layout, anywhere from a gate up to an entire chip. Stick diagrams portray traces and doped regions as only lines, or "sticks". They are used to convey high-level topographical information, without exact sizes or dimensions.
String
A sequence of characters; a term for text in computer science.
Switch
A module/piece of hardware that has the ability to take packets from multiple inputs, and arbitrates between them to send them to a single output. Often viewed as the complement of a router.
Synthesis
The process of turning our RTL design into a gate-level netlist, so that a machine can deal with it in terms of only cell instantiations and connections.

...