Versions Compared

Key

  • This line was added.
  • This line was removed.
  • Formatting was changed.

...

Acronym/JargonMeansDefinition
DEFDesign Exchange FormatA file that includes the information about the physical layout of an IC. However, it does not include information about the connections to the cell; that is included in the LEF file, with both required for a complete view of a cell. They are denoted by the .def file suffix
Desoldering Pump
See Solder Sucker
DFTDesign For Test

A design principle that includes considerations of how the design might be tested, extending the design to make the testing process easier. This could include extra functionality and/or more exposure of the design (bringing more nets out to pins) to provide the tester with greater capability to test different parts of the chip.

(Note that this can also stand for Discrete Fourier Transform, which isn't as relevant to overall chip design)

DIBLDrain-Induced Barrier LoweringA phenomenon that occurs in MOSFET's with large VDS. This large voltage lowers the source diode barrier, causing a lower threshold voltage (Vth) and larger drain current (ID) with fewer charge carriers in the channel to overcome. Similar to CLM, this comes at the cost of smaller output resistance/small-signal gain, and with large leakage in cutoff.
Die
The patterned piece of silicon that composes our chip. Once a wafer is patterned, it is cut (diced) into individual dies, which are then packaged to form the entire IC. While silicon is typically used today due to its crystalline structure and abundance in nature, research has looked into other Group 4 elements, such as Germanium.
Diffusion
The portion of the MOSFET where charge carriers migrate during operation. This includes the source, drain, and channel. Often times, when two nets are connected through doped silicon, we say that they are connected through diffusion. 
Diode Insertion
The process of inserting diodes connected to long traces to resolve antenna violations. In normal operation, these diodes will be reverse-biased, acting as an open circuit and not affecting our trace. However, during manufacturing, the breakdown of these diodes will dissipate any buildup of charge that might harm our transistors. These have the upside that they don't affect any of our routing, but the downside that they take up space on our die, and must be thought of in floorplanning.
DIPDual-In-Line PackageA THT IC package where pins are arranged in two rows on either side of the chip. This allows for through-hole connections, as well as ease of connectivity on a breadboard (straddling the center divide). An example of this is the popular 74LS series of logic gates.
Domino (Logic)

A style of dynamic logic. Here, nodes are precharged high. Once indicated by a clock signal, the nodes are then evaluated; if required by the logic, they are pulled low. In doing so, we only need to implement the PDN, resulting in faster overall logic; domino logic is considered to be the fastest overall logic family, and is often used for high-speed arithmetic. However, it does come with some prerequisites:

  • The inputs must be monotonically increasing, or else the dynamic node might be intermediately discharged when we want it to remain high. However, the outputs of the domino stage are monotonically decreasing, making them difficult to chain together. This can usually be resolved with intermediate inverters between stages, or with p-logic stages between the n-logic stages (introducing no-race, or NoRa logic)
  • The inputs must be low before the evaluate stage, to avoid early discharging. If this cannot be guaranteed, a footer is used to prevent discharge in the precharge phase (which comes at the cost of higher capacitance that the clock must drive, as well as larger nMOS to maintain a balanced gate)
Doping

The process of introducing charge carriers into a silicon lattice. Silicon is a Group 4 element, and forms a nice lattice structure, with each Si forming 4 bonds with its neighbors. When we introduce Group 5 or 3 elements, these will abide by the lattice structure to produce 4 bounds, but will additionally contribute either an electron, or a lack of an electron (known as a hole), both of which can carry charge across the silicon. Because of this, doping comes in two types:

  • p-type doping: Introducing Group 3 elements to generate holes in the silicon (usually Boron or Gallium). This forms p-type silicon
  • n-type doping: Introducing Group 5 elements to generate electrons in the silicon (usually Arsenic or Phosphorus). This forms n-type silicon
DPLDetailed Placement

A step in the ASIC flow that determines the final placement of cells. This is after global placement has approximately placed all cells; with detailed placement, we're resolving any overlapping cells, as well as ensuring correct abutment contacts (such as for power and ground rails). This ability to limit our scope makes the final placement process easier.

DRAMDynamic RAM

A type of RAM that passively holds the state (dynamically). It is composed of an access transistor and a capacitor. When we want to access the cell (either to read or write), we set the access transistor to allow current flow, and either charge/discharge the capacitor (write) or read the stored value (read). Given that capacitors naturally discharge over time, DRAM cells must be periodically refreshed. However, capacitors can be made to have a small footprint (look up trench capacitors). Because of this, when compared to registers and SRAM, DRAM uses the least area for a given amount of memory (is the most dense). It additionally uses the least power, at the cost of being the slowest to access.

DRCDesign Rule Check

The process of checking whether our design is manufacturable, according to our PDK. This includes checking the dimensions of transistors, whether traces are too close or far, as well as if we have any antenna violations. This does not check whether our design functions as intended - that is done by LVS, leading to the two often being performed together. If a design has no DRC errors, it is said to be DRC clean.

If your design is not DRC clean, not only will it not be manufactured correctly, but it may cause damage to the fabrication machines. Because of this, a foundry will not manufacture any designs that are not DRC clean.

DRTDetailed Routing

A step in the ASIC flow that determines the final routing of cells. This comes after global routing, which routes all long global wires to their approximate destinations. With detailed routing, we take a local view of any remaining routing, and ensure that our traces connect to all of our cells. This ability to limit our scope makes the final routing process easier.

DUTDesign Under Test

A testing methodology that isolates a design as the "design under test". Much of the surrounding framework is abstracted to functional-level models, to isolate the exact component we're testing. Specifically, DUT frameworks often include:

  • Generator: Generates the inputs for the DUT
  • Driver: Delivers the generated inputs to the DUT
  • Monitor: Monitors the output ports (and occasionally input as well) to capture the results of the inputs
  • Scoreboard: Compares the outputs of the DUT with the expected outputs
  • Environment: Contains the Generator, Driver, Monitor, and Scoreboard, for later re-use (possibly across different modules)
  • Test: A given Environment with specific configurations. These configurations can later be tweaked for a different Environment configuration
Dynamic (Logic/Memory)

A style of logic or memory where information is stored dynamically; it is held only temporarily. An example is DRAM, where our memory is held by a large capacitor, but is only held temporarily (leading to the need for a periodic refresh of DRAM memory)

Dynamic (Power Consumption)

A type of power consumption that occurs from the switching of transistors and computation. Current is used to charge the gate of transistors, and is dumped to ground when they are discharged, leading to power consumption. This is the opposite of static power consumption.

...

Acronym/JargonMeansDefinition
Package
The case surrounding a chip, intended to prevent damage/corrosion while allowing external access to the electrical interconnects. Many different styles of packages exist; some are detailed on this page
Packet
A collection of data, as well as some other headers (extra metadata), such as the format of the data, its intended destination, and other information that's required to correctly interpret it. The concept of packets is used in networking/interconnects to indicate a chunk of information that is transmitted together.
Pad
A large block of metal on a die, intended for external connections. These often (although not always) have some minimal ESD protection associated with them via diodes, as well as large drivers to drive signals off-chip (if they are an output). It is here that we make external connections to the die. Due to the prevalence of wire-bonding, you will most often find pads along the perimeter of the die (see Padring)
Padring
The ring of pads that surround a design on the chip. These are located along the perimeter for use in wire-bonding processes. A high-level layout will often include the location of signals among the pads on the padring. Note that Caravel from eFabless comes with its own padring that the user isn't responsible for.
Parasitics
Any electrical element that occurs not by design, but as a by-product of layout. For instance, if two nets are close together, there may be some parasitic resistance through whatever's separating them (i.e. they aren't perfectly electrically isolated), even though we didn't intentionally put a resistor there. At some level, parasitic resistance/capacitance/inductance will always exist, but are usually very small; we decide as designers how much we care about them. These parasitics can affect the delay between nodes, the frequencies at which we can operate, and how adjacent nodes or interconnects can affect each other.
Path
In ECE, a path is a route that a signal can take through wires and components. Specifically, we're often interested in combinational paths, which are paths that don't include any state (see Combinational). These paths naturally extend from one register to another, and are used to help determine the timing of a design.
PCBPrinted Circuit Board

A board with electrical contacts and connections, used to assemble a complete circuit on. They are composed of the following materials (going by layer from outside in):

  • Silkscreen: A layer of ink used to provide indications on the board. This often includes part indicators (what part should go where), part outlines, and possibly some limited functional description (ex. which way a switch is turned to be on)
  • Solder Mask: A thin layer of polymer used to protect the copper we don't want exposed from oxidation, as well as to protect against unwanted solder bridges between neighboring connections. On most circuit boards, this polymer appears as a distinct green
  • Copper: Copper traces and planes connect the different components on our circuit board (copper is used for its low resistance)
  • Substrate: A glass epoxy (or similar material) is used as a substrate for the board, to provide structural support and insulation for the copper connections

PCB's usually have multiple layers of copper within the substrate to facilitate more complicated circuits with more connections. The minimum is often 2 for top and bottom; 2 and 4 are common, although more custom PCB's may have many

PDKProcess Design KitA collection of specifications for a factory's manufacturing process. This includes design rules (DRC and LVS), various models of components and standard cells (behavioural, timing, SPICE, etc.), verification tools that can assist a designer, and other specifications (such as the number and dimensions of metal layers). In essence, a PDK contains everything a designer would need to know from the foundry in order to make a design that the factory can manufacture successfully.
PDNPower Delivery/Distribution Network

The system that delivers power across your design. This starts from a regulator (either off-chip or on-chip as a Voltage Regulator Module, or VRM), and ends with all of the components on the IC that require power. The goal of the PDN is two-fold:

  • Everything that needs power should get it. Because of this, a chip will often include power "rails"; large strips of metal that stretch across the area of the die, connecting down to any component that needs power. This often connects to a power ring; metal rings around the main die area that are associated with given voltages. These connect to the pads associated with those voltages
  • The power supply should be stable. Large fluctuations in the voltage of our power supply could affect functionality in our chip. Because of this, decoupling capacitors are often used to "smooth" a power supply; capacitors resist changes in voltage, so they are used to ensure that our voltage doesn't change too much all at once.
PDNPull-Down NetworkA component of a transistor-level gate design that pulls the output "down" (drives it to a logical 0) when the appropriate logic conditions are met. This is implemented using nMOS transistors, as they pass 0's better than pMOS transistors can. It is the complement to the PUN.
Perfboard
See Protoboard
PEXParasitic ExtractionThe process of extracting a design specifically to gain more information about the parasitics involved in layout. This is more common in Digital design (in Analog, it would just be extraction), where our layout was generated by a computer; it will have unintentional parasitics. Capturing these parasitics in extraction allows us to simulate our design with them present, allowing us to verify that our design will still function as intended even with the presence of parasitics (see Back-Annotated)
PGAPin Grid ArrayA THT IC package that includes a large array of through-hole pins on the underside. Flip-chip mounts often use this style (FCPGA), gaining the additional benefit of having the die closer to any cooling mechanism present. PGA packages are often also characterized by their substrate, such as ceramic (CPGA), organic plastic (OPGA), or regular plastic (PPGA)
Photolithography

The process by which a design is transferred onto a chip. The general outline of steps is:

  • Clean the Wafer: Ensure the wafer is clean, such as by using CMP
  • Deposit: If the wafer is being etched, a thin film of whatever is being etched is first deposited on the surface. This can be done in a variety of methods, such as Evaporation, Molecular Beam Epitaxy, or Sputtering
  • Spin Resist: Photoresist is applied to the wafer, and "spun" to have a smooth, thin layer across the wafer.
  • Baking: The resist is often "baked" (heated) to improve adhesion and establish photosensitive properties. It can sometimes even be baked two times (a "soft" bake, followed by a "hard" bake). Additionally, the thickness of the resist can also decrease here by about 25%
  • Expose: The wafer is exposed to light (usually UV - smaller wavelengths for greater resolution) normal to the surface through the mask with our desired pattern. This results in the resist being more or less soluble where exposed due to the breaking or forming of polymer bonds (respectively), depending on the type of resist
  • Development: Here, the soluble photoresist is chemically stripped away. This leaves behind only the less soluble resist, importantly in our desired pattern
  • Etch/Deposit: At this point, we have a layer of photoresist in our pattern on our wafer. At this point, one of two things is done:
    • If we've deposited a material previously, we can etch it using an etchant that doesn't affect our photoresist. The resist will therefore protect the areas that it covers, resulting in us etching the desired pattern into our previous material. This technique is known as etch-back
    • We can also deposit a material, knowing that whatever is deposited on our resist will be removed with the resist, resulting in a layer on the wafer in our pattern. This technique is known as lift-off. Often, the biggest challenge can be to ensure a clean break in the material deposited on the resist versus that deposited on the wafer; to ensure a clean break, we can either use a solvent to swell the resist, or have our process specifically designed to undercut these edges to avoid connection
  • Strip Resist: Finally, our remaining resist is stripped away, leaving behind a patterned layer of material

This process is repeated for each layer on the chip to gradually form the entire IC

Pinout
(Pronounced pin-out) An association between the electrical contacts ("pins") of a module/chip and their associated function. For instance, a pinout might tell you that the top-left corner of a chip is the "ground" pin for that chip.
Pipeline(d)
A quality of a computational system where various steps/stages are separated by registers that store intermediate values. This means that a single transaction might take multiple cycles, but since pipelines are often designed to split up the critical path, the clock can run faster, resulting in faster cycles. This means that the overall transaction latency (the time it takes for one transaction to complete from start to finish) might not be impacted. However, since we can have multiple transactions in the pipeline ("in-flight") at a time, our overall throughput (number of transactions per unit time) will increase, resulting in a faster design.
Pitch
The minimum center-to-center distance between interconnects on an IC, given by the PDK. The pitch is often a good representation of how small a PDK is, with smaller nodes being more advanced. It also can help us get rough estimates of how large a design will be. The pitch can be expressed in terms of absolute distance or in terms of lambda (see Lambda Rules)
PNRPlace anRouteA step (sometimes viewed as two) in the ASIC Flow. Here, we place our standard cells and other components on the chip, and route them together to connect corresponding nets across the chip. Both of these steps are often separated into "global" and "detailed" versions to allow computers to deal with the task at different levels of complexity (see GPL, DPL, GRT, DRT)
PR BoundaryPlace and Route BoundaryA physical boundary around a standard cell or component, contained in the LEF file. This boundary helps the computer know how much space the cell occupies, so that it can place it alongside others without any overlap or unnecessary gaps. It can also help designers form a early, rough estimate about how much space their design will take up; simply the sum of the area contained in the PR boundaries of all the cells used.
Processor
A computational engine that takes in instructions and operates on data based on said instructions. Processors near-always interface with memory of some sort to store data.
Protoboard
A board that is meant for intermediate circuit development. It contains many holes intended for THT components, as well as pads around the holes to allow for ease of creating solder bridges to connect them. It is more permanent than a breadboard (components are connected and fixed in place by solder), but less permanent than a PCB (connections are still exposed and often not as neat as possible, as it was done by hand with no access to multiple layers)
PTLPass Transistor LogicA family of logic styles where values are passed through transistors, as opposed to always being driven to rail voltages as in CMOS. This can lead to faster designs and simpler implementations (non-inverting by nature), at the cost of having to worry about signal integrity in the presence of noise, as well as the ability to drive designs with large fanout.
PUNPull-Up NetworkA component of a transistor-level gate design that pulls the output "up" (drives it to a logical 1) when the appropriate logic conditions are met. This is implemented using pMOS transistors, as they pass 1's better than nMOS transistors can. It is the complement to the PDN.
PVTProcess Voltage and Temperature

Three key parameters that affect how our chip will function: process (variations in manufacturing), voltage (at what voltage we operate at), and temperature (at what temperature we operate at). Variations in these three characteristics can affect our design and its timing; because of this, it is important to simulate and verify our design across a range of these parameters to ensure that we meet timing (see Corner)

Process: Variations in our process design that can affect how signals propagate. These refer to the speed of the nMOS and pMOS in our design; specifically:

  • FF: Fast nMOS, fast pMOS
  • SS: Slow nMOS, slow pMOS
  • FS: Fast nMOS, slow pMOS
  • SF: Slow nMOS, fast pMOS
  • TT: Typical speeds for both nMOS and pMOS

The most important of these are the first two: FF will be the worst case for hold time violations, and SS will be the worst case for setup time violations.

Voltage: Chips can also usually be run and tested at different voltages. Higher supply voltage will increase the speed of signals (at the cost of more power, in accordance with Ohm's Law) due to quicker switching of the transistors. However, with large voltages, this benefit will plateau as the interconnect delay (signal delay from the wires and interconnects) begins to dominate.

Temperature: Typically, chips are tested from a temperature range from -40°C to 125°C. This can have different effects on the propagation speed of a signal. At higher voltages, temperature has a negative effect; speed decreases with temperature. However, at lower voltages, temperature has a positive effect; speed increases with temperature. Because of this, it is important to test our designs across the temperature spectrum for setup and hold time violations

...

Acronym/JargonMeansDefinition
RAMRandom-Access Memory*

A type of memory where different pieces of data can be accessed "at random" by their address (as opposed to other ways of addressing memory, such as with Serial-Addressed Memory or Content Addressable Memory (CAM)). Specifically, RAM refers to volatile memory, as opposed to nonvolatile memory like ROM.

*Both RAM and ROM fall under the general category of random-access memory, but RAM only refers to volatile memory, leading to confusion over the name. Similarly, ROM refers to non-volatile memory, meaning that it can be written as well as read, making it a misnomer as well.

RegexRegular ExpressionA sequence of characters that specify a match pattern; a pattern that will either "match" or not "match" a string. It is often used in test searching programs or input validation; we can tell what we're searching for, or valid inputs, based on whether they "match" the pattern we've specifiedfor, or valid inputs, based on whether they "match" the pattern we've specified.
Register
A hardware component that actively holds state; one can write to a register, and read the value back out at a later time. Compared to RAM, registers take up much more space and power to store memory, but can be accessed much quicker. Because of this, registers often hold intermediate values that are read and written frequently by our processor.
Regularity
A design principle that emphasizes module reuse. Instead of using many different modules, regularity encourages us to break our design down into many of the same module. This can lead to code reuse (mitigating the likelihood of errors), known as structural regularity (regularity in the design), as well as compact/repeatable layouts, known as physical regularity (regularity in the physical implementation).
(Photo)resist

A light-sensitive material (think syrup-consistency) that is used in photolithography. It is typically composed of resin (a binder that provides physical characteristics, such as adhesion and chemical resistance), sensitizer (a photoactive compound with polymers), and solvent (to keep the resist liquid). Resist comes in two types:

  • Positive photoresist becomes more soluble when exposed to light. In photolithography, this means that the developer will wash away the exposed area
  • Negative photoresist becomes less soluble when exposed to light. In photolithography, this means that the developer will wash away the non-exposed area
RISCReduced Instruction Set ComputerA family of ISAs, considered to be introduced by IBM in the late 1970's with the IBM 801 (although the term was later coined by David Patterson in the early 80's). Specifically, RISC ISA's define simple instructions that only have one task. This leads to easier hardware development (simpler designs and easier decoding), but often larger/more complicated programs. Because of this, programs for RISC architectures will often take more instructions than those for CISC architectures, but the hardware can often execute more instructions per unit time due to the instructions' simplicity and ability to be pipelined.
RISC-V
A RISC ISA born in 2015 out of UC Berkeley. Specifically, this ISA was the first to be open-source, with the RISC-V Foundation formed to maintain and ensure the stability of the ISA, allowing for the quick and widespread popularity that it gained. It is designed with extensibility in mind; beyond the base ISA, several extensions have been introduced to allow for hardware support of specific workloads.
Rising Edge
The transition of a digital signal from a logical 0 to a logical 1. A clock's rising edge is when most of our sequential circuits are triggered and data transfer occurs.
ROMRead-Only Memory*

A type of memory where different pieces of data can be accessed "at random" by their address (as opposed to other ways of addressing memory, such as with Serial-Addressed Memory or Content Addressable Memory (CAM)). Specifically, ROM refers to nonvolatile memory, as opposed to volatile memory like RAM.

*See footnote of RAM

Router
A module/piece of hardware that takes a packet from one input, and directs it to one of several outputs, depending on where the packet is intended to go. Often viewed as the complement of a switch.
RTLRegister-Transfer Level

A level of representation of hardware. It abstracts away a piece of (synchronous) hardware to how data flows between registers, and the logical operations that are performed on it along the way.

Note that many people will say "At the RTL level", instead of simply "At the RTL", meaning that they say "level" twice, similar to chai tea, naan bread, the Sahara Desert, and an ATM Machine. If you want to be both understood and technically correct, I recommend simply "At the register-transfer level" (smile)

RTL Simulation
A simulation of a piece of hardware at the register transfer level (see RTL). This includes both 2-State and 4-State simulations.

...

Acronym/JargonMeansDefinition
Schematic
A symbolic diagram representing a hardware design. It is meant to convey what components are involved and how they are connected at a high-level.
Self-Aligned (Gate)

The gate of a MOSFET that is "self-aligned" by the MOS capacitor.

Previously, as a chip was built from the ground-up, the source and drain regions of a MOSFET were doped before the gate was placed on top. The gate must therefore be carefully aligned between the doped regions; if the mask was slightly off, the gate would be shifted and cause alignment problems, as it wouldn't cover the whole channel.

With modern processes, gates are "self-aligned"; the MOS capacitor over the gate is formed before the doping of the source and drain regions. In this way, the MOS capacitor can act as a mask for the gate region, such that we can dope all around the MOS capacitor, and have the channel region protected by the MOS capacitor, ensuring that our source and drain doped regions go right up to the channel. In this way, the gate aligns itself, hence "self-aligned"

Sequential (Logic)
Logic that retains state; the outputs are a function of the inputs, as well as previous inputs.
Setup Time

A timing constraint present in synchronous circuits. Specifically, setup time is the amount of time that an input signal (to a register) must remain constant before a clock's positive edge. If the clock edge causes this input to change within our hold margin (the amount of time before an edge with a duration of our setup time), the output and stored state may be unpredictable; in this case, we have violated setup time.

Compared to hold time violations, this is an easier issue to fix; in the worst case, we just slow down our clock speed, so that while our hardware may run slower, all signals would have time to propagate as far as they need to.

Short
An unintended connection between two electrical nets; if present, those nets are considered "shorted". If two metal wires are too close together on the chip, they may accidentally be shorted during manufacturing; because of this, our DRC rules specify how far away from each other adjacent traces must be.
Silicon
The primary element with which chips are made. It was chosen due to its crystalline structure, ability to have a large flat area with low global defects and minimal distortion, and feasable additive/subtractive processes (such as doping), as well as its plentiful availability in nature (sand!). That being said, ongoing research is exploring other options for semiconductor manufacturing, including GaN and GaAs.
Simulation

A representation of how something would behave; in our case, how computer hardware would proceed. Simulations can come in a variety of flavors (2-State RTL, 4-State RTL, Gate-Level, Back-Annotated GL), depending on how thorough you wish to be; the list above increases in precision, but also simulation time.

If a simulation takes too long, an FPGA can often be used to emulate the desired hardware, allowing for faster "simulation" times.

Skew

A difference in timing between when clock signals arrive at different points of the design. This is specifically relevant between two registers where the data transmitted by one is received by the other. The type of skew indicates how much time later the receiving register received the clock signal compared to the transmitting register; positive skew indicates time was added to the receiving register's signal, and it arrives later, whereas negative skew indicates time was taken away, and the receiving register gets the clock earlier.

Clock skew can affect our setup and hold time constraints. Our clock tree aims to minimize skew between closely-connected parts of a design.

Slack
The difference between the time a signal is required to reach a sequential circuit by (based on our clock speed), and the time it actually arrives in simulation. Positive slack indicates that our signal arrives before it needed to, and meets timing requirements. Negative slack indicates that the signal arrives after it is required to, causing a setup time violation. In order to function properly, all paths must have positive slack.
SMDSurface-Mount DeviceA style of package where components sit directly on top of the PCB, with the contacts resting directly on top of their intended connections. While these components aren't as easy to solder as THT components, they can be made with smaller/denser connections, and avoid disturbing any routing intended for other layers.
SMTSurface-Mount TechnologySee SMD
SOISilicon-On-InsulatorA process where transistors are fabricated on an insulator, instead of conventionally on a conductive substrate. This aids in reducing the parasitic capacitance between the source/drain and body of the transistor, improving the switching speed. It additionally results in lower subthreshold leakage and a higher subthreshold slope, with the drawback being time-dependent threshold variations, caused by the floating body in conjunction with the body bias effect.
SOICSmall-Outline ICA SMD IC package where pins are arranged in two rows on either side of the chip. It is similar to DIP, except SMD instead of THT, as well as a considerable amount smaller (shorter and narrower, occupying 30-50% less area, as well as 70% less thick). Note that there are different standards for SOIC, and they are not interchangeable (see SOP)
SoCSystem-On-Chip

A concept where all of the different computing modules (such as a CPU, memory, I/O ports, graphics units, etc.) are all integrated onto a single chip. This contrasts with a motherboard approach, where the different units are separate chips connected on the PCB. For the same functionality, SoC's have higher performance and lower power consumption (as they don't need to drive signals across the PCB), as well as less overall die area. However, this comes at the cost of reduced replaceability; if one module isn't working, the whole chip doesn't work, as you can't replace just the one module. 

This becomes apparent when using external IP. Many companies (such as ARM) sell "IP Blocks"; these are modules that can be integrated into your SoC, such as cores, controllers, memory blocks, etc. However, the vendor may need to take extra care to verify them; since they will be integrated on the same die, if the IP turns out to be non-functional, it cannot be easily replaced, and could affect the rest of the chip.

Solder
  • (noun): A metallic composition, designed to melt under relatively low temperatures (180-190°C, or 360-370°F) and provide electrical and physical connections to components. Solder comes in a spool, and is easily malleable to direct where you want it to go. Historically, it has been made out of tin and lead (you may see "70:30" or "60:40" solder, referring to the fractions of tin and lead, respectively), although lead-free solders have recently been introduced, which require slightly higher temperatures. They may also include a rosin core, to improve adhesion to metal contacts (see Flux)
  • (verb): The act of applying solder to establish an electrical and physical connection between contacts.
Solder Braid
A braid of finely-woven copper, coated in rosin flux (usually stored on a roll). Due to the high surface-area, flux coating, and use of copper, solder prefers to stick to the braid than any other connection. It is used accordingly to desolder components; an engineer would put the braid between the solder and the soldering iron, such that when the solder is heated by the iron, it will jump over to adhere to the braid, removing the connection. In this manner, the braid can be used up; once it is saturated with solder, it can't be used again.
Solder Bridge
An (often unintended) solder connection between neighboring contacts. Since the contacts aren't directly pressed together, solder bridges usually require a fair amount of solder to occur. While we aim to avoid them on PCB's (with the solder mask helping us to do so), they can also be intentional, such as in the case of perfboards.
Soldering Iron
A metal stick that, under operation, gets extremely hot (usually via inductive heating) in order to facilitate the melting and application of solder. Many come with an adjustable temperature dial; a good starting place is 600°- 650°F (316°- 343°C), or slightly higher for lead-free solder. One other good practice is tinning a soldering iron - applying a small layer of solder to the tip. This not only helps to prevent oxidation of the tip, but allows the soldering iron to connect to and transfer heat to solder more efficiently and without the solder balling up.
Solder Paste

A mixture of powdered solder, suspended in flux. It can be used similarly to solder for SMD components, but melts easier. To apply it, one usually places solder paste on the pads, puts the component on top, and then directs a heat gun towards the solder paste to reflow it, where the flux melts and causes the solder to adhere to the connections, forming a physical and electrical connection. Solder paste is typically used for smaller connections, as the large amount of flux allows it to "automatically" adhere to the correct locations, assuming the desired contacts are close enough.

Solder paste must be refrigerated when stored in an airtight container, and warmed up to use. It is typically stored in a syringe for manual use. However, for pick-and-place machines, it is stored in a printing mechanism; it is placed where needed, components are placed on top, and then the entire board is heated to reflow all of the solder paste.

Solder Sucker
A spring-loaded mechanism designed to suck solder off of a connection. The spring is pre-loaded, and released by a button to suck whatever is at the tip of the sucker. To use, an engineer would have a soldering iron in one hand, and a pre-loaded sucker in the other. The iron is used to heat the solder on the connection, and the sucker is then used to suck away the solder while it is still liquid.
Solder Wick
See Solder Braid
SOPSmall-Outline Package

A specific type of SOIC package. Specifically, there are three main standards:

  • JEDEC MS-012 (3.9mm body width)
  • JEDEC MS-013 (37.5mm body width)
  • JEITA (formerly EIAJ) Type II (5.3mm body width)

The first two are typically called "SOIC", whereas the third is referred to as "SOP", or even "wide SOIC" (although it is not as wide as the JEDEC MS-013, which may also be referred to as a "wide SOIC")

SPEFStandard Parasitic Exchange FormatA file that contains information about the parasitics of interconnects in a design, denoted by the .spef file suffix
SPISerial Peripheral InterfaceA hardware communication protocol intended for small distance communication, such as between chips or modules, designed by Motorola in 1979. It is a master-slave communication protocol, and involves 4 signals; CS (chip-select, to select which chip we're using, also seen as SS), SCLK (the edges of which determine when data transfer happens), MOSI (Master Out, Slave In; Master→Slave Communication), and MISO (Master In, Slave Out; Slave→Master Communication). The MISO line can be shared by many slaves, with the CS line determining which one talks to the master. While it is not a bus protocol like IC, and requires more data lines, it can also communicate much faster (up to 50Mbps). It is also full duplex, meaning that data can be transmitted and received simultaneously.
SPICESimulation Program with Integrated Circuit EmphasisAn open-source analog circuit simulator. It is the industry standard way to simulate a circuit at the transistor level, allowing the designers to have high confidence when taping out the chip. SPICE takes a text netlist describing the circuit elements, and translates them into nonlinear differential equations that describe the circuit, which are solved during Monte Carlo simulations with various methods (such as implicit integration, Newton's method, and sparse matrix techniques). While SPICE can take a while for larger circuits, it can accurately capture different component variations and operating conditions, as well as extracted parasitics.
SRAMStatic RAMA type of RAM that actively holds the state (statically), through the use of cross-coupled inverters. The most common variety is 6T SRAM, which uses 6 transistors (as the name suggests) to hold 1 bit of state. A lot of work and research has been done to optimize the layout of 6T SRAM. Compared to DRAM, SRAM is faster but uses more power and area. Compared to registers, SRAM is slower but uses less power and area.
SSHSecure Shell

A network protocol that allows users to remotely access other computers over an unsecured network. Additionally, it may refer to the suite of utilities used to implement said protocol. It began in 1995 as SSH-1, which was later found to have flaws. The current version is SSH-2, which originally used a Diffie-Hellman Key Exchange to establish symmetric cryptography keys, although it also supports other algorithms, such as RSA and EdDSA.

SSH is the method with which we communicate with the C2S2 server. If you are using the keys generated by the setup script, they operate on the RSA algorithm (specifically using a 3072-bit key)

STAStatic Timing AnalysisThe process of checking timing constraints (setup and hold time) across a design. The process is "static", as it doesn't actually simulate signal flow; rather, STA analyzes the delay along all register-register paths, and check to see that they all satisfy setup and hold time. This is an important verification step to ensure that our chip will "meet timing". If there are hold time violations, buffers may be inserted to increase the shortest possible delay. If there are setup time violations, the tool may try to optimize the path (such as reducing interconnect length or changing sizing of gates), but may also fail if our target clock period is too aggressive for our design, in which case we would attempt to run the flow with a longer clock period (a.k.a. a slower clock)
Standard Cell

A group of transistors that provide a defined logic function. A standard cell library will include many of these cells (in various views, such as behavioral, physical, etc.) that the tool can use to synthesize the design.

These cells share many common physical characteristics, making them "standard". Included in these are height and power rail location, pitch of inputs/outputs, and transistor sizing (they are usually multiples of one another). This regularity helps the tools to place and route them in an organized, dense fashion.

Static (Power Consumption)
A type of power consumption that occurs just from the chip having power. With digital design, this is usually just the leakage of the transistors (see Leakage). In larger designs, this can get to be a lot of power even when not all of our design might be used at a time (think of how often you actually use your USB ports or speakers); in this case, we can implement various types of gating to save power (see Gating)
STIShallow Trench IsolationA process where oxide is used to isolate different doped wells in the substrate from unwanted capacitive coupling. While is was popular and cheap when introduced, it is now outdated, replaced by SOI technology
Stick Diagram
A symbolic design to represent a layout, anywhere from a gate up to an entire chip. Stick diagrams portray traces and doped regions as only lines, or "sticks". They are used to convey high-level topographical information, without exact sizes or dimensions.
String
A sequence of characters; a term for text in computer science.
Switch
A module/piece of hardware that has the ability to take packets from multiple inputs, and arbitrates between them to send them to a single output. Often viewed as the complement of a router.
Synthesis
The process of turning our RTL design into a gate-level netlist, so that a machine can deal with it in terms of only cell instantiations and connections.

...