Alexander Ruyack, CNF Fellow - Jan. 2017 - Parts re-purposed from 2017 issue of the Nanometer


Introduction

Nanolithography is a fundamental requirement for the future of electronics patterning. Current trends indicate the end of Moore’s Law for traditional lithography processes. Directed self-assembly (DSA) of block copolymers (BCPs) can generate ordered, periodic arrays of various structures down to single nanometer (nm) size scale. The heterogeneous nature of these structures act intrinsically as their own mask, enabling nanometer (nm) scale resolution with a flood exposure and no traditional photo mask. BCP lithography offers low-cost processing of nm scale periodic structures typically only available by e-beam lithography, and can act as a complementary technology to conventional photolithography.

Currently at the CNF we have a poly(styrene-methylmetacrylate) BCP polymer and process available that produce hexagonally periodic pores of ~25nm diameter with about 50nm spacing. Other patterns/morphologies at these feature sizes are possible via other polymers using the provided procedure with minimal tweaking. A poly(styrene-dimethylsiloxane) process able to reach ~10nm feature pores, pillars, and lines is currently under investigation.

Below, we detail our specific work on the PS-b-PMMA BCP while also providing insights necessary for determining if BCP lithography is right for your needs, and if so, how to chose and polymer and develop a process.

If you are interested in developing a process, please contact myself or Vince Genova.

Block Copolymer Lithography Overview

BCP lithography relies on the microphase separation of the two comprising polymers to achieve a nanoscale pattern. Due to the reliance on self-assembly, the resulting photolithographic features are intrinsically periodic. As such, this process is useful for applications in areas where long range repeating structures are needed, such as nano-porous substrates, nanoparticle synthesis, or high-density information storage media.

For the development of this method, we used a poly(styrene-block-methyl methacrylate) (PS-b-PMMA) block copolymer due to its popularity in literature, which stems from its excellent etch selectivity, low surface energy mismatch, and theoretical 12 nm feature size.

The typical fabrication flow for a BCP lithographic process is shown in Figure 1 (left) (adapted from [1]). First, a surface treatment is applied to create a neutral layer/brush. This prevents a surface parallel BCP domain orientation from occurring by making the substrate surface interfacial energy equal for both polymer phases. Next, the BCP is spin coated and then thermally annealed allowing for phase separation and formation of the pattern. Finally, one phase is selectively removed and subsequent substrate processing can occur from this point.

Fig. 1: Left: Typical BCP lithography fabrication flow (adapted from [1]). Right: Fabrication flow for PS-b-PMMA BCP.

We used P9085-SMMAranOHT as our neutral layer and P8205-SMMA as our BCP (both obtained from Polymer Source). Our process flow follows that shown in Fig. 1 (right), where the etch is accomplished using a 220 nm flood exposure followed by an acetic acid dip. Various polymer concentrations, film thickness, and anneal conditions were tested for their effect on pattern formation (morphology, uniformity, periodicity, etc.). Figure 2 shows the resulting BCP thickness as a function of two solution concentrations (1 and 2% in toluene) and spin speed showing achievable thicknesses ranging from 30-150 nm. Figure 3 shows SEM micrographs of the BCP at various points in fabrication.

Fig. 2: Film thickness vs. spin speed for 1 and 2% PS-b-PMMA BCP in toluene

Fig 3: SEM micrographs of BCP film. Left: after annealing. Right: after etching. The increase in contrast comes from the removal of the PMMA phase in the pore regions after the etch.

In order to quantify the effect of our parameter sweeps it was necessary to develop an image processing technique that could quickly evaluate samples. We used ImageJ to develop two separate macros for 1) measuring feature sizes and 2) evaluating inter-feature spacing. In our case, the BCP morphology is a hexagonal array of pores, so these methods were tuned to generate information on pore diameter and interpore spacing. The former was accomplished using built in ImageJ functions and the Particle Analysis tool. The latter is comprised of built in ImageJ functions along with an additional macro for K-Nearest Neighbor analysis that was expanded on from an existing implementation, as well as a custom Matlab script [2]. Figure 4 shows the visual output of these macros.

Fig 4: Output of ImageJ macros. Left: Pore size analysis with designated pores shown in red. Right: K‑Nearest Neighbor Analysis with lines corresponding to 6 nearest neighbors.

Through this system of evaluation, we were able to achieve BCP films of 30 nm thickness with long range order. Pore sizes of ~23.12 nm with 1.78 nm standard deviation and interpore spacing of ~54.26 nm with 7.33 nm standard deviation and a circularity of ~0.92 were obtained. Figure 5 shows example SEM images of a typical sample. Using these films, pattern transfer through 50 to 100 nm of oxide has been achieved, as well as a subsequent Si etch (Figure 6).

Fig 5: SEM micrographs of optimized BCP film. Left: 350kx magnification showing pore diameter and interpore spacing uniformity. Right: 50kx magnification showing long range order.

Fig 6: SEM micrograph of BCP on 50nm of SiO2 on Si after CH2F2/He and HBr/Ar etch. Left: Top down. Right: Cleaved, 45 degrees.

In the future, we are working on various path forwards for BCP lithography implementation at the CNF. One path is further process tuning to reduce defects in the film and improve uniformity and periodicity. Beyond this, we are also looking into additional processing steps required to alter the BCP film morphology. In particular, we are working on a graphoepitaxy process that will result in parallelly aligned domains, rather than pores. Finally, we are also investigating other BCP systems for smaller features sizes (<10 nm), such as PS-b-PDMS.

References:

[1] C.M. Bates, et al., Block Copolymer Lithography, Macromolecules, 2014, 47 (1), pp 1-12.

[2] Burri, Olivier, 2D K Nearest Neighbors Python script, (2017), GitHub repository, https://gist.github.com/lacan/2643f2ce7e33d1bb07adafde9ff94101

Example Process Flow for PS-b-PMMA

Materials/notes:

  • Solvent: Toluene (PGMEA can also be used)
  • Brush/Surface treatment: P9085-SMMAranOHT 2% in toluene
  • Copolymer: P8205-SMMA 1% or 2% in in toluene
    • Lower wt. % will yield thinner films, but the polymer separation is typically better defined
  • Keep stir bar in bottle, mix before spinning a few hours (helps reduce non-uniformies in the finished film)
  • Work in e-beam room, spinners and oven
  • Line spinner with beta wipes and discard when done
  • Keep substrates very clean (tested substrates include Si with thermal, PECVD, and ALD oxide)
  • Pieces or full wafers will work. Consider pieces for testing since the polymers are not inexpensive (~$500/g)

Recipe

  1. Treatment with brush - P9085-SMMAranOHT
    1. Mix solution before spinning!
    2. Spin chips and rinse with toluene
    3. Coat sample with the surface treatment (much like P20). It’s very low viscosity
    4. Spin using 1600 rpm, 10krpm/s ramp, 30s1
    5. Anneal overnight in vacuum oven at lowest pressure possible, T = 170°C2
  2. Coating with P8205-SMMA
    1. Mix solution before spinning!
    2. Rinse excess surface treatment with toluene. Spin the chip and drop toluene from a pipette.
    3. Coat sample with the BCP solution
    4. Spin using 2000 rpm, 10krpm/s ramp, 30s (for 1% solution this will yield about 30nm thickness)
    5. Anneal in vacuum oven, everything the same as above except T=180°C
  3. Expose and Develop
    1. 1 min 15s flood exposure on ABM - 220 nm (You must change the mirror to the 220 nm from the standard - replace when done!)
  4. 5 min acetic acid etch to remove cleaved PMMA
  5. Pattern transfer
    1. Oxide etch – Oxford 100, CH2F2/He: 20/80 sccm, RIE/ICP = 60W/3000W, 4 mtorr, 30 sec per 50 nm.
    2. Si etch – Cobra, HBr/Ar: 20/4 sccm, RIE/ICP = 18W/800W, 8mtorr.

Comments:

11600 rpm seems good for 2% solution viscosity (too fast and then treatment becomes too thin, leaving uncovered areas, too slow and you end up with pooled solution at the corner/edges of square chips – full/circular substrates may prevent this)

2Literature shows Tg of about 110C for both PS and PMMA and Td of 220C for PS and 300 for PMMA, so this is a good spot between them – Harris, Appel, Ade, 2002. Going higher is probably fine as long as you are below Td. Thicker films might benefit from this.

3Time is not critical as long as it above a threshold – the light crosslinks PS and cleaves PMMA. I would not recommend below 1 min.

Characterization

  • AFM will not work with high aspect ratio structures. For example, 2% BCP solution produces about 20-30 nm diameter pores. AFM trip dimensions are ~ 10nm tip with 15-degree slope. This means that only a pore depth up to about 19-37 nm can be read out (and you will get significant effects due to tip profile). Anything more than that will not work for measuring thickness. While you can’t get good depth measurements, you can still see pores and get a feel for your samples (but honestly, SEM is a lot faster and easier).
  • SEM works well with 2 kV and the InLens detector. There is decent contrast difference between the two phases for PS-PMMA polmyers. Once developed, you can go to 20kV with no charging issues, even on oxide. This gives much nicer images at high magnifications since it bumps up your ultimate resolution (Abbe’s Equation).
  • Thickness measurement can be done either with Filmetrics (using n for PS or PMMA, 1.59/1.45 respectively, works fine. PS is slightly more accurate since it is the majority polymer in our composition) or profilometry. Profilometry may yield better results since the index issue will not be present, but results between the two typically agree within about 20%. You must scratch your surface free of any BCP to use the profilometer.
  • To strip baked BCP use toluene or 1165 (both tested to work before any sort of pattern transfer). Post pattern transfer resist may be harder to get rid of. The PT72 Sidewall clean recipe has worked: CF4/O2: 5/30 sccm, 150W, 60 mtorr. The rate is listed at 100nm/min. It worked to strip remaining BCP after a Si etch in the cobra on plain Si (no O2 etch).

Polymer Selection & General Information

Resolution & The Flory–Huggins Parameter

The ultimate feature size of the BCP you select will be a strong function of the Flory–Huggins Parameter (χ), which is dependent on the two polymers that comprise the BCP. This is a measure of the energy of interaction between the two polymer blocks. The higher χ is, the more the two blocks want to separate. Thus, higher χ enables smaller features, but it also complicates fabrication. This is because with more disparate surface energies, the more one phase will prefer the substrate surface or air/vacuum to minimize interfacial energy, making perpendicular morphology alignment (which is desired for lithography) more difficult.

For example, PS/PMMA (χ = 0.06), considered a standard in BCPs, has a theoretical resolution of about 12 nm (~20 nm in practice), and can be processed with a neutral brush and vacuum oven anneals. PS/PDMS on the other hand (χ = 0.27) can reach sub-10nm in practice, but PDMS highly favors being in contact with air, likely resulting in a layer of PDMS at the surface, even if a perpendicular orientation of obtained at the surface with a brush. This requires additional processing to remove the upper layer. These high χ BCPs also require annealing beyond just a vacuum oven, such as solvent or microwave annealing [1].

Beyond selecting the two components of the BCP, there may also be a choice of functional end group. As long as the polymer is above ~2kg/mol, this should have little to no effect (e.g., the end group chemistry is insignificant when it is a small constituent of the polymer overall) [2].

Brushes

To make the substrate non-selective to a particular polymer phase and promote perpendicular ordering, a neutral brush layer can be used. This is typically a surface coating/monolayer whose surface energy is nearly equal for both phases of the BCP. Random co-polymers of the same blocks as the BCP to be applied work well, if they are available. Some literature reports success with homopolymer brushes. If using a homopolymer brush, it is suggested to followed established literature for your particular system [3]. It is also possible that a brush will not be necessary, such as in some applications of PS-b-PDMS BCPs.

Keep in mind that surface chemistry will dictate phase preference. Additionally, if you are performing grapho or chemoepitaxy, you may not need a brush (see below for more information).

Morphology

Typical BCP morphologies follow the trend above. The left plot shows the theoretical phase diagram (χN vs. block/molecular weight ratio, where N is the number of monomers). On the right is an actual experimental plot for poly(isoprene-styrene) diblock copolymers. For lithography, the C/C’ (cylindrical) and L (lamellar) phases are most useful. These are relative easy phases to select using near 1/4 (or 3/4) or 1/2 block ratio, respectively [4].

A note on thickness: Approaching film thicknesses similar to the periodicity tend to yield better phase separation. This is because the boundary condition in the thickness direction enforces no phase separation in that direction. Thus, it is not unlikely for ordering to improve as the thickness is decreased. This does not necessarily mean, though, that good ordering cannot be achieved for thicker films. Achieving this will require finding an environment (temperature, pressure, solvent) that is not favorable to one polymer phase over the other.

Some other considerations: Typically, higher molecular weight improves phase segregation. Additionally, it has been shown that increasing molecular weight and/or the polydispersity increases the lattice parameter/domain spacing of the resulting film [5, 6].

Etch selectivity

You will want to select a BCP whose phases have good etch selectivity. For example, PS-b-PMMA has excellent etch selectivity. PS is crosslinked and PMMA is cleaved with 220 nm UV light, making development easy. Another is example is PS-b-PDMS, where PDMS is relatively unaffected by O2 plasma due to it's silicon content.

Grapho and Chemoepitaxy

For lithography purposes, it is sometimes desired to not only have an ordered array produced by the BCP, but also have some additional control over placement or orientation. For example, to have aligned lamellar structures. This can be accomplished using chemical or topological pre-patterned substrates (chemo- and grapho-epitaxy, respectively) to achieve directed self-assembly. If interested, I suggest reading the below paper for general information [7].

General reading

For general reading about BCPs, I suggest [1].

References

[1]   C. Bates, et al., “Block Copolymer Lithography,” Macromolecules, 2014, 47 (1), pp 2-12.

[2]    C Qian, S. Grigoras, and L. Kennan, “End Group Effects on the Phase Behavior of Polymer Blends: Poly(dimethylsiloxane) and Poly(methylphenylsiloxane) Blend,” Macromolecules, 1996, 29 (4), pp 1260-1265.

[3]    Y. Pang, et al., “Controlling Block Copolymer−Substrate Interactions by Homopolymer Brushes/Mats,” Macromolecules, 2017, 50 (17), pp 6733-6741.

[4]    F. Bates & G. H. Fredrickson, “Block Copolymers—Designer Soft Materials,” Phys. Today, 52, 2, 32, 1999, pp 32-38.

[5]    N. Lynda, A. J. Meuler, M. A. Hillmyer, “Polydispersity and block copolymer self-assembly,” Prog. Polym. Sci., 33 (9), 2008, pp. 875-893.

[6]    Y. Matsushita, et al., “Molecular Weight Dependence of Lamellar Domain Spacing of Diblock Copolymers in Bulk,” Macromolecules, 1990, 23 (19), pp 4313-4316.

[7]    J. Kim, et al., “Directed self-assembly of block copolymers for next generation nanolithography,” Mater. Today, 16 (12), 2013, pp 468-476.

Upcoming: PS-b-PDMS for <10nm lines and pillars!

 

 

  • No labels